• [00:01:13] <mrpackethead_> does anyone know if the schematics for the BeBoPr are online someone?
  • [00:01:23] * rcn-ee (~voodoo@thief-pool3-123-204.mncable.net) has joined #beagle
  • [00:03:15] <Russ> it says 'schematics no'
  • [00:03:38] <mrpackethead_> sorry, what says schematics no?
  • [00:03:52] <Russ> http://circuitco.com/support/index.php?title=BeBoPr_Cape
  • [00:04:04] * hattwick (~hattwick@68-184-17-253.dhcp.unas.ma.charter.com) has joined #beagle
  • [00:04:20] <mrpackethead_> deos too.
  • [00:04:21] <aholler> found it, wrong storm ;)
  • [00:04:27] <mrpackethead_> did'nt see that
  • [00:06:18] * MightyMu (~MightyMu@ip68-224-77-19.lv.lv.cox.net) Quit (Quit: This computer has gone to sleep)
  • [00:08:45] <mranostay> email flood to koen + panto
  • [00:11:17] * hattwick (~hattwick@68-184-17-253.dhcp.unas.ma.charter.com) Quit (Ping timeout: 252 seconds)
  • [00:13:19] * mranostay finally setup gmail + git send-email
  • [00:16:12] * kiilo (~kiilo@46-126-76-28.dynamic.hispeed.ch) Quit (Quit: ciao)
  • [00:18:20] * modmaker (~ncbas@63-11.bbned.dsl.internl.net) Quit (Ping timeout: 252 seconds)
  • [00:19:28] * rcn-ee (~voodoo@thief-pool3-123-204.mncable.net) Quit (Quit: Leaving)
  • [00:23:36] * damir__ (~damir@cpe-212-85-175-204.cable.telemach.net) Quit (Quit: Leaving.)
  • [00:24:12] * kiilo (~kiilo@46-126-76-28.dynamic.hispeed.ch) has joined #beagle
  • [00:32:09] * scrts (~quassel@unaffiliated/scrts) has joined #beagle
  • [00:32:40] * VadtecWk (~Vadtec@unaffiliated/vadtec) Quit (Ping timeout: 256 seconds)
  • [00:33:02] * kiilo (~kiilo@46-126-76-28.dynamic.hispeed.ch) Quit (Quit: ciao)
  • [00:33:14] * scrts_ (~quassel@2a01:348:70:46:17:57:19:0) Quit (Ping timeout: 256 seconds)
  • [00:33:23] * kiilo (~kiilo@46-126-76-28.dynamic.hispeed.ch) has joined #beagle
  • [00:33:50] * VadtecWk (~Vadtec@unaffiliated/vadtec) has joined #beagle
  • [00:39:48] * stahl (~stahl@181-178.194-178.cust.bluewin.ch) Quit (Ping timeout: 264 seconds)
  • [00:40:50] * dj_pi (~asd@c-107-5-25-243.hsd1.mi.comcast.net) has joined #beagle
  • [00:43:46] * stahl (~stahl@181-178.194-178.cust.bluewin.ch) has joined #beagle
  • [00:46:54] * NishanthMenon (~nmenon@192.91.66.186) Quit (Quit: Deeply attached to friends and acquaintances.)
  • [00:48:19] * mhaberler (~mhaberler@213-33-12-161.adsl.highway.telekom.at) has joined #beagle
  • [00:48:19] * mhaberler (~mhaberler@213-33-12-161.adsl.highway.telekom.at) has joined #beaglebone
  • [00:48:27] * mhaberler (~mhaberler@213-33-12-161.adsl.highway.telekom.at) Quit (Client Quit)
  • [01:01:05] * Wipster (~Wip@cpc2-rdng23-2-0-cust135.15-3.cable.virginmedia.com) Quit (Remote host closed the connection)
  • [01:05:51] * tlab (~tlab@c-98-223-24-161.hsd1.in.comcast.net) has joined #beagle
  • [01:10:31] * fusion94 (~fusion94@pdpc/supporter/student/fusion94) Quit (Quit: Linkinus - http://linkinus.com)
  • [01:13:10] <toneeee> has anyone built the cloud9-image lately ? (is there a daily autobuild?)
  • [01:15:25] <toneeee> oh I just saw angstrom-devel for today; I have similar issue on "| No package 'libudev' found"
  • [01:16:04] <toneeee> I did not have the "preferred version 189 of systemd not available (for item udev/systemd)"
  • [01:16:47] * davest (~Adium@134.134.137.73) Quit (Quit: Leaving.)
  • [01:26:36] * KeatonT (~textual@unaffiliated/keatont) has joined #beagle
  • [01:28:06] * kiilo (~kiilo@46-126-76-28.dynamic.hispeed.ch) Quit (Quit: ciao)
  • [01:28:42] * NickTompkins (615ecb02@gateway/web/freenode/ip.97.94.203.2) has joined #beagle
  • [01:28:47] <NickTompkins> Hello al
  • [01:28:49] <NickTompkins> l
  • [01:29:25] <NickTompkins> I recently came across an idea to use the beagle bone as a part of a process at work
  • [01:30:04] * prpplague (~prpplague@107-206-64-184.lightspeed.rcsntx.sbcglobal.net) has joined #beagle
  • [01:30:10] <NickTompkins> I have a question if beaglebone can be used as a MCU practically
  • [01:30:25] <NickTompkins> The idea is to take a scan from a barcode scanner
  • [01:30:39] <NickTompkins> send that data to a host that has a database
  • [01:31:00] <NickTompkins> recieve data from a host and then send a hex signal to some pins
  • [01:31:05] <NickTompkins> using Wifi
  • [01:31:15] <NickTompkins> the host will just be a basic pc
  • [01:31:43] <NickTompkins> and there might be like maybe 50 of these systems doing this
  • [01:32:04] <NickTompkins> my question is if that can be done without a GUI for the system
  • [01:32:14] <aholler> the beaglebone runs linux
  • [01:33:07] <NickTompkins> ok.., so that means that it cannot be done? because it runs linux?
  • [01:34:13] <NickTompkins> like I know it might be dumbing down alot of what the system is capable of but I would have no need for a GUI for this program
  • [01:34:22] <toneeee> well seems pretty do-able /because/ it has linux.
  • [01:34:37] <toneeee> (I'm going afk , back in a bit)
  • [01:34:54] <NickTompkins> I would guess that there would need to be an app that will just setup on startup
  • [01:35:07] <NickTompkins> so it could just function in that app enviroment
  • [01:35:45] <NickTompkins> and by the way thank you for this room and to listen to this question
  • [01:36:49] <NickTompkins> basically the idea would be this..... input from the USB is a Scanner the other usb is a WiFi jack......
  • [01:37:02] <NickTompkins> takes logic in sends logic to the server
  • [01:37:12] <XorA> NickTompkins: basically if you can do it with a linux desktop it can be done witht he bone
  • [01:37:39] <aholler> no need for a desktop, a linux server can do that too ;)
  • [01:37:42] <XorA> NickTompkins: as long as there isnt some speed critical stage that requires an uber CPU
  • [01:37:43] <NickTompkins> the server will send the logic some bits that it will be sent to an existing MCU
  • [01:38:03] <prpplague> NickTompkins: yea the bone can be used as a price checker
  • [01:38:13] * mranostay zzzzzzzzzzz's
  • [01:38:24] <NickTompkins> basically your right its a basic price checker
  • [01:38:48] <NickTompkins> but its from um fragial of an application than that
  • [01:39:03] <NickTompkins> more* of
  • [01:39:08] <aholler> you will need to learn linux
  • [01:39:15] <aholler> +about
  • [01:39:38] <NickTompkins> last question and I will stop this spamming session
  • [01:39:49] <NickTompkins> you can remote into the BB correct?
  • [01:39:53] <XorA> yes
  • [01:40:04] <NickTompkins> and now Im a very happy man
  • [01:40:09] <XorA> you can do anything you can do with an x86 linux system
  • [01:40:13] <NickTompkins> you guys rock
  • [01:40:15] <NickTompkins> !
  • [01:40:17] <XorA> so prototype on a PC then transfer
  • [01:40:23] <aholler> learning linux is best done on a pc. you can just use a live-cd
  • [01:40:39] <aholler> or usb-stick
  • [01:40:42] <NickTompkins> I will make a partition
  • [01:40:50] <NickTompkins> oh just boot form a stick lol
  • [01:40:53] <NickTompkins> ok
  • [01:41:00] <prpplague> or just buy a price checker, hehe
  • [01:41:18] <XorA> you price today sir is Purple$
  • [01:41:33] <NickTompkins> this is kinda like a large manufacuring enviroment
  • [01:41:50] <prpplague> NickTompkins: hehe doesn't make much difference
  • [01:42:02] <NickTompkins> and im doing an internship as a Lean Sigma Engineer and im not.... Lean or Sigma ....
  • [01:42:07] <XorA> I hope this isnt going to make the poor box counter dude redundant
  • [01:42:08] * bzb (~bzb@69-165-145-53.dsl.teksavvy.com) has joined #beagle
  • [01:42:09] <NickTompkins> but im an EE student
  • [01:42:45] <XorA> what is a Small Sum Engineer?
  • [01:42:50] <NickTompkins> so I found out that they where looking for systems that might take up too 10,000 for this basic design
  • [01:42:57] <prpplague> NickTompkins: have fun, hehe
  • [01:43:00] <XorA> not a term I ever heard
  • [01:43:10] <NickTompkins> lol
  • [01:43:12] <prpplague> 10000 what?
  • [01:43:24] <mrpackethead_> bitcoins
  • [01:43:29] <NickTompkins> its 10,000 for that same thing I just asked about
  • [01:43:29] <prpplague> hehe
  • [01:43:36] <NickTompkins> for design
  • [01:43:41] <NickTompkins> just design
  • [01:43:43] <prpplague> NickTompkins: they are looking in the wrong spot then
  • [01:43:48] <NickTompkins> yes
  • [01:43:54] <prpplague> NickTompkins: http://www.amltd.com/product.asp?pid=kdt750
  • [01:44:18] <mrpackethead_> who's an EE and needs a job?
  • [01:44:23] <prpplague> hehe
  • [01:45:12] <mrpackethead_> im deisgning a new supercape
  • [01:45:24] <mrpackethead_> and i and debating if i should but on some user leds
  • [01:45:28] <NickTompkins> almost would work but they need a hand held scanner
  • [01:45:31] <XorA> oh right, its QA
  • [01:46:01] <prpplague> NickTompkins: http://www.amltd.com/product.asp?pid=m7225
  • [01:46:14] <mrpackethead_> i suppose i better
  • [01:46:15] <mrpackethead_> :-)
  • [01:46:20] <mrpackethead_> since you won't see the beagle
  • [01:47:02] <prpplague> NickTompkins: or even cheaper model: http://www.amltd.com/product.asp?pid=m7220
  • [01:47:17] <mrpackethead_> theres another ever so slightly annoying beagle feature
  • [01:47:35] <mrpackethead_> theres no easy access to the ethernet indicator LED's
  • [01:47:41] <mrpackethead_> so you can put them somwhere you can see them
  • [01:47:58] <aholler> just use iptables and a trigger
  • [01:48:16] <NickTompkins> but is that cheaper than a Beagle Bone a usb scanner and a Wifi adapter
  • [01:48:43] <aholler> mrpackethead_: simple rule and you can use any led you want to visualize traffic
  • [01:48:46] <NickTompkins> plus it needs to send out packets to pins for hex logic to light up LEDs on a MCU
  • [01:49:24] <mrpackethead_> aholler.. that is an interesting concept
  • [01:49:35] <mrpackethead_> very latteral thinking..
  • [01:49:41] <mrpackethead_> +2 points.
  • [01:50:16] <mrpackethead_> though doesn't help me with connectivity
  • [01:50:21] <mrpackethead_> just tells me if theres activity
  • [01:50:27] <NickTompkins> I dont think those scanner solutions have the flexiblity they need to control the 54 leds they need to light up
  • [01:50:42] <prpplague> NickTompkins: it is if you factor in getting everything working, plus battery support, and having all of the software already there for you...
  • [01:50:51] <aholler> mrpackethead_: define connectivity
  • [01:51:09] <prpplague> NickTompkins: hehe they do
  • [01:51:10] <mrpackethead_> ethernet cable plugged in, and also connected to a switch..
  • [01:51:36] <prpplague> what is the purpose of the 54 leds?
  • [01:51:46] <NickTompkins> they can send data to pins for another mcu?
  • [01:51:56] <mrpackethead_> 54 leds. must be a christmas tree.
  • [01:51:57] <mrpackethead_> :-)
  • [01:52:04] <NickTompkins> too another *
  • [01:52:14] <prpplague> NickTompkins: uh what?
  • [01:52:27] <NickTompkins> its a manufacturing quality tool actually
  • [01:52:43] <aholler> mrpackethead_: http://dpaste.de/5iicy/
  • [01:53:03] <NickTompkins> so its like say you built a deathstar out of legos
  • [01:53:30] <NickTompkins> and a part of the completion is flaging certion sections
  • [01:53:53] <NickTompkins> but your workers are not putting flags on or in the right places
  • [01:54:18] <NickTompkins> and this can result into the buyer rejecting the project everytime
  • [01:54:31] <mrpackethead_> aholler: thats traffic.
  • [01:54:47] <aholler> mrpackethead_: yes, the hard part
  • [01:54:48] <NickTompkins> so you make LEDS to where the flags need to go on the deathstar lego table
  • [01:55:12] <NickTompkins> and you will now have barcodes on your falgs
  • [01:55:30] <NickTompkins> so you can force them to place every flag in the right position
  • [01:55:30] <prpplague> NickTompkins: hehe you you need the KDT750 with no internal barcode scanner, a handheld usb scanner, and the i/o expansion module
  • [01:55:40] <toneeee> is the buyer The Empire ?
  • [01:55:40] <NickTompkins> or it cannot move down the line
  • [01:55:43] <prpplague> NickTompkins: basically the same as what you are asking for less than $400USD
  • [01:55:48] <mrpackethead_> aholler: it is still a clver idea.
  • [01:56:10] * tlab (~tlab@c-98-223-24-161.hsd1.in.comcast.net) Quit (Quit: Leaving)
  • [01:56:18] <aholler> thanks
  • [01:56:33] <mrpackethead_> and with a little bit of extension to the idea
  • [01:56:43] <mrpackethead_> if we had a periodic ping going.
  • [01:57:07] <aholler> I'll forward it to the author of the led-trigger-iptables-module ;)
  • [01:57:09] <mrpackethead_> you probably woudl get the desired result.
  • [01:57:48] <aholler> there are enough ifup/ifdown triggers available
  • [01:58:05] <NickTompkins> hehe you you need the KDT750 with no internal barcode scanner, a handheld usb scanner, and the i/o expansion module or could this be done just as well with a beagle bone though
  • [01:59:00] <NickTompkins> the idea that it might be possible to do a system for under $150 per unit
  • [01:59:05] <prpplague> NickTompkins: oh sure if you know how to do it..... hehe just remember that it probably will end up costing a lot more than what you think....
  • [01:59:43] <NickTompkins> might make it where there can be software engineers setup to make it happen for far less
  • [02:00:07] <prpplague> hehe, good luck
  • [02:00:08] <prpplague> hehe
  • [02:00:34] <NickTompkins> lol I will bring your idea to the next meeting
  • [02:00:35] <NickTompkins> lol
  • [02:00:40] <aholler> per unit price doesn't say much without the number of units
  • [02:01:08] <NickTompkins> 35-250
  • [02:01:15] <NickTompkins> depends on sucess
  • [02:01:22] <prpplague> nor does it factor in all the time involved or the ability to replace a unit when it fails
  • [02:01:37] <aholler> and qa
  • [02:01:58] <NickTompkins> your correct in that having a company that will just do an RMA is very nice
  • [02:02:01] <XorA> heh, only QA would fail to QA their QA devices :-D
  • [02:02:09] * DJWillis (~djwillis@cpc1-bath5-2-0-cust122.aztw.cable.virginmedia.com) has joined #beagle
  • [02:02:17] <aholler> don't forget to calculate what happens when the system fails.
  • [02:02:18] <NickTompkins> and they would
  • [02:02:39] <NickTompkins> a built solution would be better
  • [02:02:56] <NickTompkins> yes
  • [02:03:01] <aholler> 10.000 isn't that much to design an idiot proof solution
  • [02:03:47] <NickTompkins> well it seemed like alot for just the scanner and the server lookup to send a hex packet to an MCU
  • [02:03:48] <aholler> s/./,/
  • [02:03:58] <aholler> it isn't
  • [02:04:18] <NickTompkins> yea I will be less critical
  • [02:04:21] * DJW|Home (~djwillis@cpc1-bath5-2-0-cust122.aztw.cable.virginmedia.com) Quit (Ping timeout: 244 seconds)
  • [02:04:41] <NickTompkins> perhaps this is just life in the real engineering world
  • [02:04:50] <NickTompkins> im just not seeing it
  • [02:04:50] <NickTompkins> lol
  • [02:05:00] <aholler> it's the ee-problem, they only see hw
  • [02:05:09] <toneeee> Yeah my brother-in-law ran a company that made those scanners, Nick, it's not cheap to handle the whole life cycle of the units.
  • [02:05:11] <prpplague> hehe indeed
  • [02:05:58] <toneeee> note past-tense "ran." ;-)
  • [02:06:02] <NickTompkins> yea this might be a better intership then I expected and I might get alot of lessons out of it that I wont make in a career
  • [02:06:20] <mranostay> NickTompkins: it only gets worse from here :)
  • [02:06:25] <NickTompkins> HA
  • [02:07:06] <NickTompkins> to me though it seemed like it would almost be cheaper just to put a freaking PC at the station
  • [02:07:44] <aholler> doesn't make a differece
  • [02:09:20] * emeb (~ericb@ip72-201-78-226.ph.ph.cox.net) has left #beagle
  • [02:12:52] * emeb_mac (~ericb@ip72-201-78-226.ph.ph.cox.net) has joined #beagle
  • [02:14:10] <mrpackethead_> anyone got a preference for method when setting up a sinple LED indicator off the beagle
  • [02:14:31] <mrpackethead_> die'snt seem samrt to try to directly drive them
  • [02:14:39] <mrpackethead_> small transistor?
  • [02:15:04] <mranostay> why do you need a transistor?
  • [02:15:25] <mrpackethead_> green led
  • [02:15:36] <mranostay> so? what is the voltage drop on it?
  • [02:15:45] <mrpackethead_> 3.0v nomimal
  • [02:15:55] <mrpackethead_> could be higher.
  • [02:16:01] <mrpackethead_> ouput is just 3.3V
  • [02:16:51] <mrpackethead_> data sheet time.
  • [02:18:00] * XorA is now known as XorA|gone
  • [02:18:32] <mrpackethead_> did i tell you, that you are all mighty fine looking trolls
  • [02:19:35] <prpplague> 3 nomimal is pretty high for a generic led
  • [02:20:36] <emeb_mac> generally 2.8v for a blue LED, more like 1.5 for a red one.
  • [02:21:17] <prpplague> mranostay: http://www.jamesglanville.com/wordpress/wp-content/uploads/2013/01/2013-01-09-18.58.19.jpg
  • [02:21:47] <emeb_mac> nice!
  • [02:21:49] <mranostay> very modern art
  • [02:21:53] <mrpackethead_> prpplague: yeah, i needed to look at the datasheet
  • [02:22:05] <emeb_mac> love those circuits that include the HV boost.
  • [02:22:39] <mrpackethead_> that is truley scary!
  • [02:22:51] <prpplague> mrpackethead_: you generall can find a nice led that can operate around 10mA, using the correct current limiting resistor, it is safe to drive the LED directly from the GPIO
  • [02:23:22] <mrpackethead_> 5mA is mpre than enough gnerally
  • [02:23:34] <mranostay> there you go
  • [02:23:51] * Ceriand|desktop (~Ceriand@unaffiliated/ceriand) has joined #beagle
  • [02:23:54] <mrpackethead_> theres a lot of "mis-information" out there
  • [02:23:57] <prpplague> mranostay: are you saying that the LED is in 5mA draw or that you expect to find one that is 5mA
  • [02:24:34] <mrpackethead_> i'm saying that i'll cetianly find a LED thats sutible and running it at 5mA will be enough for indicaiton
  • [02:25:11] <prpplague> yea 5mA is harder to find, 10mA is a good choice for both cost and availibility
  • [02:25:28] <mrpackethead_> i'll probably find a led that is rated at 20mA
  • [02:25:37] <mrpackethead_> but i'll only drive it to 5mA
  • [02:25:57] <mrpackethead_> im just tyring to find the max currnet per port in the datasheets.
  • [02:26:37] <mrpackethead_> reducing part count is very helpful!
  • [02:26:59] <prpplague> mrpackethead_: generally speaking you can count on most ARM SoC's to have about 20mA per gpio
  • [02:27:17] <prpplague> with some SoCs having configurable drive strengths
  • [02:28:30] <mranostay> prpplague: i assume that was at mrpackethead_ :)
  • [02:28:52] <prpplague> mranostay: yes indeed, tab completion failure
  • [02:29:09] <mranostay> prpplague: the gpio outputs are 20mA right?
  • [02:29:53] <prpplague> mranostay: i'd have to double check the datasheet for the am335x stuff, but in general you can use a rule of 20mA sink current
  • [02:29:57] <prpplague> mranostay: max
  • [02:30:49] <mranostay> yeah i meant max
  • [02:30:50] * stahl (~stahl@181-178.194-178.cust.bluewin.ch) Quit (Ping timeout: 260 seconds)
  • [02:30:55] <mrpackethead_> its not burnig down at 10mA!
  • [02:31:09] <prpplague> the omap4 has mostly 20mA gpios , but it does have about 15 or 16 that are maxed at 10mA
  • [02:31:15] <aholler> it burns up
  • [02:32:46] <prpplague> hmm
  • [02:33:02] <mrpackethead_> on teh beaglebone itself, the Four USR Leds are buffered
  • [02:33:15] <prpplague> datasheet seems to indicate 6mA for most signals and 4mA for a bunch of the high speed lines
  • [02:33:24] <toneeee> I saw 18mA and lots of 10mA and some 5mA pins
  • [02:33:35] <toneeee> (3358)
  • [02:33:40] <toneeee> sorry 3358
  • [02:34:26] <prpplague> yea i am looking at 3352 atm, grabbing 3358 now
  • [02:34:46] <mrpackethead_> 3359
  • [02:35:02] <mrpackethead_> http://www.ti.com/lit/ug/spruh73g/spruh73g.pdf
  • [02:35:21] <prpplague> mrpackethead_: just stepping through several of the model line to get an idea of the differences
  • [02:36:52] <mrpackethead_> which documentat are you reading
  • [02:37:16] <prpplague> http://www.ti.com/lit/ds/symlink/am3359.pdf
  • [02:38:13] <mrpackethead_> m assuming Circuitco spent the money on the transisitors for a rason
  • [02:40:38] <prpplague> mranostay: yea usually for safety, but yea it appears that from the datasheet it looks as if it is about 6mA for an average gpio
  • [02:41:02] <prpplague> i am surprised at the low value
  • [02:41:28] <mrpackethead_> which section did you find the rating for the AM3359
  • [02:44:44] <prpplague> table 2-7
  • [02:44:50] <prpplague> buffer strength
  • [02:45:17] <mrpackethead_> ahh
  • [02:45:22] <mrpackethead_> i was looking in teh wrong place
  • [02:46:05] <toneeee> ahhh me too.
  • [02:46:13] <toneeee> gj sir prplague!
  • [02:46:28] <mdp> a faq
  • [02:46:51] * mdp updates prpplague's tickets on the big board
  • [02:47:22] <prpplague> jeeze
  • [02:47:36] <mrpackethead_> a 0.03 led
  • [02:47:42] <mrpackethead_> and i've spent an hour thinking about it
  • [02:48:14] <mrpackethead_> 6mA it is
  • [02:48:29] <mrpackethead_> though i'm driving one at 9.5mA right now
  • [02:48:33] <mrpackethead_> and its doing ok.
  • [02:48:37] <mrpackethead_> but
  • [02:48:40] * coldsoup (~nathan@75.108.47.140) Quit (Ping timeout: 256 seconds)
  • [02:49:12] <mrpackethead_> so, mranostay in reply to your question.. why do you need a transistor
  • [02:49:24] <mrpackethead_> beucase 6mA is'nt that much.
  • [02:49:56] <prpplague> mrpackethead_: other options you could always use an external buffer or some other shifting device
  • [02:50:04] <mrpackethead_> yes
  • [02:50:11] <prpplague> mrpackethead_: all depends on how many leds and what you want to use them for
  • [02:50:24] <mrpackethead_> lowering the part count is always good.
  • [02:50:35] <aholler> use a cpld
  • [02:50:40] <prpplague> aholler: hehe
  • [02:51:08] <mrpackethead_> the clc in some of the micrchip 8bit PIC's is very handy
  • [02:51:09] <aholler> if just the sw wouldn't be that heavy and ugly to install
  • [02:51:12] <mrpackethead_> very low cost
  • [02:51:13] <prpplague> mrpackethead_: how many leds are you going to have?
  • [02:51:18] <mrpackethead_> 4
  • [02:51:59] <mrpackethead_> User0, 1
  • [02:52:20] <mrpackethead_> a gpio to blink when ethernet's passing packets ( very nice trick )
  • [02:52:29] <mrpackethead_> and another one, for a dont' know what
  • [02:52:35] <mrpackethead_> but it will be handy to have it there
  • [02:53:26] <prpplague> http://www.digikey.com/product-detail/en/DMN2005DLP4K-7/DMN2005DLP4KDICT-ND/1774234
  • [02:54:05] <mrpackethead_> yes a small fet
  • [02:54:19] <prpplague> mrpackethead_: those are a good choice
  • [02:54:43] <prpplague> mrpackethead_: small package size and dual
  • [02:55:30] <mrpackethead_> yes..
  • [02:55:41] <mrpackethead_> using a fet liek that saves me a resitor
  • [02:55:50] <mrpackethead_> over using an NPN transistor
  • [02:56:26] <prpplague> you;d still want to put a resistor in there...
  • [02:56:36] <mrpackethead_> yes,
  • [02:56:47] <mrpackethead_> you still need 1 resistor
  • [02:56:48] <mrpackethead_> not 2
  • [02:56:56] <prpplague> yea
  • [02:57:37] <prpplague> plus the dual package saves you about $0.15 on assembly
  • [02:57:45] <mrpackethead_> yes
  • [02:57:54] <mrpackethead_> every part is abotu 0.10-0.15
  • [02:59:32] * prpplague is very familiar with the costs of production
  • [03:00:41] <mrpackethead_> and reducing the number of different parts is a big saving
  • [03:02:25] <mrpackethead_> im currently trying to get a customer to see why having a touch screen will be an advnatage over some glowing light bulbs and big buttons.
  • [03:03:35] * alan_o (~alan@c-68-62-240-236.hsd1.fl.comcast.net) Quit (Quit: Leaving)
  • [03:04:34] * hattwick (~hattwick@68-184-17-253.dhcp.unas.ma.charter.com) has joined #beagle
  • [03:07:08] * KeatonT (~textual@unaffiliated/keatont) Quit (Quit: Computer has gone to sleep.)
  • [03:08:46] * tema (~tema@178-16-155-142.obit.ru) Quit (Read error: Operation timed out)
  • [03:09:23] * coldsoup (~nathan@75.108.47.140) has joined #beagle
  • [03:11:16] <mrpackethead_> those fets you suggeested ahve a very low Gate Threshold
  • [03:13:51] * KeatonT (~textual@unaffiliated/keatont) has joined #beagle
  • [03:16:00] * davest (~Adium@134.134.139.72) has joined #beagle
  • [03:16:25] * gopal (6e054ffa@gateway/web/freenode/ip.110.5.79.250) has joined #beagle
  • [03:16:32] * thaytan (~thaytan@113.94.233.220.static.exetel.com.au) Quit (Ping timeout: 252 seconds)
  • [03:23:55] * gopal (6e054ffa@gateway/web/freenode/ip.110.5.79.250) has left #beagle
  • [03:24:49] * eephillip (~eephillip@pdpc/supporter/student/eephillip) has joined #beagle
  • [03:24:49] * eephillip (~eephillip@pdpc/supporter/student/eephillip) has joined #beaglebone
  • [03:24:49] * eephillip (~eephillip@pdpc/supporter/student/eephillip) has joined #beagleboard
  • [03:24:52] * eephillip (~eephillip@pdpc/supporter/student/eephillip) Quit (Remote host closed the connection)
  • [03:40:10] <toneeee> sometimes you buy a circuit board and there are ICs that have a black rubber or plastic package and they are clearly a part of the board packaging, not meant to be removed. What's the name for that packaging? I've always been curious and never knew.
  • [03:40:36] <toneeee> it's like a round dot of rubber covering the IC package...
  • [03:42:08] <prpplague> toneeee: slang term is called "blob" , but the technical term is "Chip On Board" or CoB
  • [03:42:23] <toneeee> prplague: thank you much
  • [03:42:40] <prpplague> toneeee: in most cases 90% of the cost of an IC is in the cermanic and/or plastic packaging for the silicon
  • [03:43:16] <prpplague> http://elinux.org/Chip_On_Board
  • [03:43:21] <toneeee> danke
  • [03:43:31] <prpplague> hmm i thought i had more info there
  • [03:43:35] * prpplague makes a note to add some
  • [03:44:47] <toneeee> prplague: Thank you much for that site.
  • [03:45:13] <toneeee> between you and XorA, I've made much progress in learning the ropes here.
  • [03:45:45] <toneeee> http://www.dieproducts.org/tutorials/assembly/cob/index.php wow
  • [03:47:08] * NickTompkins (615ecb02@gateway/web/freenode/ip.97.94.203.2) Quit (Ping timeout: 245 seconds)
  • [03:47:49] <prpplague> toneeee: nice find, you should add it to the elinux.org wiki page
  • [03:48:00] <toneeee> Right on! I just need to find wmat
  • [03:50:50] * thaytan (~thaytan@113.94.233.220.static.exetel.com.au) has joined #beagle
  • [03:56:08] * KeatonT (~textual@unaffiliated/keatont) Quit (Quit: Computer has gone to sleep.)
  • [04:01:56] <toneeee> pr: I sent email to get an id to wmat.
  • [04:02:10] <prpplague> toneeee: dandy
  • [04:04:17] * davest (~Adium@134.134.139.72) Quit (Ping timeout: 248 seconds)
  • [04:05:21] * dj_pi (~asd@c-107-5-25-243.hsd1.mi.comcast.net) Quit (Ping timeout: 248 seconds)
  • [04:11:12] * GPSFan (~kenm@64.92.145.112) Quit (Remote host closed the connection)
  • [04:16:27] * aholler_ (~aholler@p57B2040D.dip0.t-ipconnect.de) has joined #beagle
  • [04:19:45] * aholler (~aholler@p57B20476.dip0.t-ipconnect.de) Quit (Ping timeout: 248 seconds)
  • [04:56:55] <mrpackethead_> the ipfilters led trick is beaufitul.
  • [05:00:35] * mranostay pokes prpplague
  • [05:01:08] <aholler_> I'll send a bill
  • [05:01:40] * aholler_ is now known as aholler
  • [05:05:31] <mranostay> ipfilters led trick?
  • [05:27:55] <coldsoup|work> I'm intrigued too. What's with ipfilters and leds?
  • [05:29:40] <aholler> see the dpaste above
  • [05:43:30] <mranostay> woot. nixie display for my gieger cape done for ELC
  • [05:44:22] * Electric_Monk (~colin@c-71-202-160-62.hsd1.ca.comcast.net) has joined #beagle
  • [05:48:11] * tema (~tema@ppp91-122-92-92.pppoe.avangarddsl.ru) has joined #beagle
  • [05:53:38] * gir (443e7aa0@gateway/web/freenode/ip.68.62.122.160) has joined #beagle
  • [05:54:01] * gir is now known as Guest29549
  • [05:54:32] <Guest29549> Hi all, I have recently bought a beagleboard as well as a beagletouch and beaglejuice. I assembled it all together and yet when I power it on the screen remains dark. Could anyone help me try and figure out what I have done wrong?
  • [06:04:31] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) has joined #beagle
  • [06:04:58] <mranostay> prpplague: funny thing is my demo for both talks would be the same almost :D
  • [06:07:36] <mranostay> anyone find cheaper hotels for ELC by chance?
  • [06:07:47] <Russ> I can help you out
  • [06:07:49] <Russ> one sec
  • [06:08:47] <Russ> did you try hotel union square?
  • [06:09:15] <mranostay> Russ: is that where you are staying?
  • [06:09:28] <Russ> no, I'll stay in parc 55
  • [06:09:34] <Russ> stayed there twice, nice hotel
  • [06:09:41] <Russ> I've also stayed in hotel union square
  • [06:09:47] <mranostay> hmm yes it would be if i'd funding :)
  • [06:09:49] <mranostay> *had
  • [06:09:58] * bzb (~bzb@69-165-145-53.dsl.teksavvy.com) Quit (Ping timeout: 272 seconds)
  • [06:10:09] <Russ> hotel abri is also nice
  • [06:10:50] <mranostay> i still say we should all get the hostel across the street :P
  • [06:10:58] <Russ> you could also stay in the north shore area and take the bus or trolley in
  • [06:11:06] <mranostay> thank you LC for putting us in the ghetto :)
  • [06:11:44] <Russ> yup, if only we could have the cheap hotel options of oakland
  • [06:11:50] <Russ> wait, you can!
  • [06:11:57] <Russ> stay in oakland, take the bart in!
  • [06:12:26] <mranostay> f*** that i'd just stay at home and train in :)
  • [06:12:44] <mranostay> unless my talk is the first again
  • [06:12:51] <mranostay> then i'd have to stay in SF
  • [06:12:53] <Russ> I used to work at a place that moved their office from north beach to oakland
  • [06:13:04] <Russ> I'd stay in the parc 55 area, and take the bart across the bay
  • [06:13:35] <mranostay> i view this as a vacation anyway...
  • [06:13:45] * Guest29549 (443e7aa0@gateway/web/freenode/ip.68.62.122.160) Quit (Quit: Page closed)
  • [06:13:51] <mranostay> since my ghetto company requires me to take personal time for conferences
  • [06:17:08] <Russ> lookup the government rate for downtown sf
  • [06:17:20] <Russ> call several hotels, tell them you are traveling on business, and are required to book at the government rate
  • [06:17:37] <Russ> crap, did I say that travel secret outloud?
  • [06:18:28] <coldsoup> lol
  • [06:19:04] <Russ> be sure not to actually say you are a goverment employee, because then they can ask for id at check-in
  • [06:19:28] <Russ> its just a lot of companies set their per diem by gfd/gst
  • [06:21:12] * Russ notes that the government rate for that zipcode right now is $155..nm
  • [06:21:32] <Russ> er wait
  • [06:23:21] <Russ> ya, $155
  • [06:23:26] <Russ> generous
  • [06:26:36] <mranostay> heh
  • [06:27:02] * mranostay makes note to work for a real company in the valley next time :)
  • [06:27:14] <coldsoup> or the government!
  • [06:27:23] <coldsoup> the national labs aren't so bad
  • [06:27:51] <mranostay> Russ: they don't seem to understand if you don't at least give me time off to attend i'm redoubling my job interviewing at ELC :)
  • [06:28:58] * tema (~tema@ppp91-122-92-92.pppoe.avangarddsl.ru) Quit (Ping timeout: 272 seconds)
  • [06:30:37] <KotH> moin
  • [06:33:50] <mranostay> did it get more swiss and turkish in here?
  • [06:34:19] <mranostay> like a swiss cheese gyro
  • [06:34:28] <emeb_mac> swiss cheese and turkish delight?
  • [06:34:45] * mranostay hands emeb_mac a creeper yellow card
  • [06:35:13] <emeb_mac> err - what's creepy about that? turkish delight is candy.
  • [06:36:16] * mranostay takes back ceeper card
  • [06:36:37] <emeb_mac> whew!
  • [06:36:50] <mranostay> that looks pretty good actually
  • [06:38:03] * bewest (~bewest@httpcraft/bewest) has joined #beagle
  • [06:38:54] <KotH> mranostay: is it bad if it gets more swiss/turkish here? :)
  • [06:39:41] <mranostay> hey if get me swiss citzenship? :P
  • [06:39:54] <KotH> that shouldnt be too hard
  • [06:40:16] <KotH> come to .ch, live here for 10a, apply for citizenship, ???, profit
  • [06:40:24] <mranostay> 10a?
  • [06:40:28] <emeb_mac> yr
  • [06:40:33] <KotH> ^^'
  • [06:40:48] <mranostay> 10 years? geez
  • [06:41:04] <emeb_mac> sounds easier than getting US citizenship.
  • [06:41:06] <KotH> mranostay: well, we have to make sure you learn the local language properly
  • [06:41:19] <emeb_mac> german or french?
  • [06:41:40] <aholler> italian
  • [06:41:41] <KotH> swiss german
  • [06:41:46] <mranostay> emeb_mac: well i was born here so easy :)
  • [06:41:47] <KotH> nothing else matters ;)
  • [06:41:56] <_av500_> KotH: http://io9.com/5976941/here-is-why-canadians-are-so-damn-dirty
  • [06:41:58] <_av500_> discuss!!!
  • [06:42:09] <mranostay> no degree which is pain for jobs overseas
  • [06:42:40] <mranostay> and in the US even
  • [06:43:00] <_av500_> mranostay: go to vegas
  • [06:43:17] <mranostay> i've had few interviews end 'so where did you get your degree?'
  • [06:43:18] <emeb_mac> _av500_: because there are so few of them for the landmass apparently.
  • [06:43:32] <mranostay> i'm sure prpplague can relate.....
  • [06:43:42] * lyakh (~lyakh@dslb-178-009-253-075.pools.arcor-ip.net) has joined #beagle
  • [06:43:58] <_av500_> emeb_mac: the comments are hilarious: we are so few canadian and have so much space for landfill, so who cares.....
  • [06:44:07] <emeb_mac> mranostay: I guess "the school of life" is not the correct answer.
  • [06:44:27] <mranostay> no it isn't
  • [06:44:36] <_av500_> half of the people on g+ have "school of hard knocks" as "education"
  • [06:44:46] <_av500_> it got boring fast
  • [06:44:51] <mranostay> i have some college to be fair
  • [06:45:11] <mranostay> but no chance of finishing unless i have a sugar momma :P
  • [06:45:23] <emeb_mac> google "diploma mill"
  • [06:45:39] <_av500_> mranostay: marry a rich, swiss girl
  • [06:45:47] <emeb_mac> profit!
  • [06:45:59] <emeb_mac> eat cheese!
  • [06:45:59] <mranostay> oh i think swiss girls can be picky
  • [06:46:15] <mranostay> not like it is some ukrainian or indian girl
  • [06:46:25] <emeb_mac> most all girls _can_ be picky.
  • [06:46:31] <_av500_> mranostay: for all that matters, marry a rich ukranian girl
  • [06:46:40] <KotH> mranostay: well... it's all a matter on how you sell yourself
  • [06:47:06] <KotH> mranostay: and usually you dont want to work in a company that puts more importance into your degree than what you can actually do
  • [06:47:07] <mranostay> KotH: have female friends that are into american college dropouts? :)
  • [06:47:18] <emeb_mac> maybe slovak girls? they'd know how to spell your name.
  • [06:47:27] * _av500_ hums "teenage dirtbag"
  • [06:47:27] <mranostay> cute
  • [06:47:41] * mranostay stabs _av500_ repeatly
  • [06:47:48] <_av500_> mranostay: the song has a happy end
  • [06:47:56] <_av500_> and no, you do not stab me
  • [06:47:56] <emeb_mac> it is a cute song
  • [06:48:02] <_av500_> that's only for elenril
  • [06:48:04] * woglinde (~henning@f052229092.adsl.alicedsl.de) has joined #beagle
  • [06:48:26] <_av500_> emeb_mac: https://www.youtube.com/watch?v=Fw8ZDwdyHJQ
  • [06:48:52] <emeb_mac> _av500_: priceless
  • [06:49:05] * bewest (~bewest@httpcraft/bewest) has left #beagle
  • [06:49:11] <_av500_> mranostay: 1) circle "inpirational quotes" and "love quotes" on G+
  • [06:49:16] <_av500_> 2) reshare early, reshare often
  • [06:49:20] <_av500_> 3) engage
  • [06:49:22] <_av500_> 4) profit
  • [06:49:23] <KotH> mranostay: some of my friends are dropouts :)
  • [06:49:39] <KotH> mranostay: actually some of the smarter ones are... they have been too smart for uni ^^'
  • [06:50:07] <KotH> _av500_: the waste isnt _my_ fault
  • [06:50:26] * KotH doesnt even fill a 35l bag in a month
  • [06:51:22] <mranostay> KotH: my point is they won't let me work there without a degree :)
  • [06:51:35] <KotH> mranostay: i'm not so sure about that
  • [06:52:04] <XorA|gone> buy a degree, its easier :-D
  • [06:52:07] * KotH knows one guy, who didnt have a degree, but worked as a sysadmin and was making enough money that he could afford to fly to .za over weekend.... every weekend!
  • [06:52:28] <mranostay> my problem is i'm a master of nothing.. i know a little of everything though
  • [06:52:41] <mranostay> av500: +1 on song
  • [06:52:48] <KotH> mranostay: but yes, you will not be able to enter a job at a bank w/o a degree.. but as i said, you dont want to work there
  • [06:52:57] <mranostay> i don't
  • [06:53:07] * woglinde_ (~henning@g225146114.adsl.alicedsl.de) has joined #beagle
  • [06:53:42] <mranostay> i'm never going to rich working for a company (sure as fuck don't want to work for a bank), but i love not to hate my boss and job :)
  • [06:54:02] <woglinde_> yes yes
  • [06:54:13] <KotH> gr?ezi woglinde_
  • [06:54:18] * Bryanstein (~Bryanstei@shellium/admin/bryanstein) Quit (Ping timeout: 245 seconds)
  • [06:54:33] <woglinde_> haha nice story
  • [06:54:46] <woglinde_> about the guy who outsourced his job to china
  • [06:54:54] <mranostay> hehe
  • [06:55:02] <woglinde_> but how stupid to send the etoken theire
  • [06:55:06] * mrpackethead_ (~mrpacketh@118.93.95.178) Quit (Remote host closed the connection)
  • [06:55:08] <mranostay> could have done that smarter btw :)
  • [06:55:09] * woglinde (~henning@f052229092.adsl.alicedsl.de) Quit (Ping timeout: 276 seconds)
  • [06:55:19] * woglinde_ is now known as woglinde
  • [06:55:32] <mranostay> i would have had the chinese dude tunnel through me
  • [06:55:46] <mranostay> also if i still had to go the office wtf is the point?
  • [06:55:51] <woglinde> same stupid as the cyberstalking of this army slut
  • [06:56:01] * mrpackethead_ (~mrpacketh@118-93-95-178.dsl.dyn.ihug.co.nz) has joined #beagle
  • [06:56:10] <KotH> cyberstalking armyslut?
  • [06:56:12] <mranostay> linky-poo?
  • [06:56:25] <woglinde> former cia chef
  • [06:56:31] <mranostay> linky-poo?
  • [06:56:41] <emeb_mac> cooking in the cia?
  • [06:58:49] <emeb_mac> mranostay: I think he means petraeus getting caught in adultery.
  • [06:59:31] <woglinde> yes
  • [06:59:55] <woglinde> pssst no names
  • [07:00:03] <Russ> great, now I have new work music...the ukulele orchestra
  • [07:00:47] <emeb_mac> that's a real toe-tapper there all righty.
  • [07:01:09] <woglinde> russ haha
  • [07:01:10] <aholler> mranostay: jobs didn't have a degree too
  • [07:01:23] <_av500_> Russ: :-P
  • [07:01:33] <mranostay> aholler: what jobs?
  • [07:01:40] <_av500_> steve jobs
  • [07:01:56] <emeb_mac> he didn't have a degree either
  • [07:02:07] <_av500_> he had karma
  • [07:02:12] <_av500_> and woz
  • [07:02:17] <emeb_mac> mranostay: go for the black turtleneck & mom-jeans look.
  • [07:02:59] <mranostay> heh i'm sure that doesn't work 100% of time :)
  • [07:03:04] * emeb_mac once passed Craig Barrett in the hall & noticed he was dressed like Steve Jobs too.
  • [07:03:59] * Bryanstein (~Bryanstei@shellium/admin/bryanstein) has joined #beaglebone
  • [07:04:30] * Bryanstein (~Bryanstei@shellium/admin/bryanstein) has joined #beagle
  • [07:04:30] * woglinde (~henning@g225146114.adsl.alicedsl.de) Quit (Read error: Operation timed out)
  • [07:07:42] <_av500_> KotH: maybe not your fault....but!
  • [07:07:54] <_av500_> why?
  • [07:08:19] * KotH has no clue
  • [07:08:46] <KotH> though, i wonder whether they split out recycled and bio degradeble stuff out
  • [07:09:39] <_av500_> dont try to confuse us with facts
  • [07:09:40] <KotH> 'cause i know that .ch does produce so little trash that has to be burned compared to the 80s, that some of the burning facilities had to be closed in the last 20 years
  • [07:12:14] <aholler> here they have to add oil or similiar because the trash doesn't contain enough flammable stuff like plastic anymore
  • [07:13:53] <XorA|gone> aholler: that just sounds wrong :-D
  • [07:14:27] <aholler> I don't live in frane
  • [07:15:37] <aholler> we collect paper and plastic separately
  • [07:15:43] <_av500_> XorA|gone: not enough paper in the trash any more
  • [07:15:49] <_av500_> so it does not burn that good
  • [07:16:46] <XorA|gone> deep fry it and feed it to people
  • [07:16:53] <_av500_> XorA|gone: shhh
  • [07:17:06] <_av500_> XorA|gone: they must not know....
  • [07:17:33] <XorA|gone> would be a delicacy in Scotland
  • [07:18:41] <emeb_mac> mmm... crunchy!
  • [07:19:38] <mranostay> hmmm no pay notice from ADP
  • [07:20:06] <emeb_mac> ooooh - bad sign.
  • [07:20:21] <_av500_> adp?
  • [07:20:27] <mranostay> no shit
  • [07:20:28] <emeb_mac> there'll be a note at your desk in the morning to go see HR
  • [07:20:51] <mranostay> emeb_mac: or more like nobody can get in
  • [07:21:13] <emeb_mac> I know people to whom that has happened.
  • [07:23:27] * Guest59068 (~bleh1@87.254.84.104) has joined #beagle
  • [07:26:44] * mranostay triples checks this is every other weeks
  • [07:27:20] <mranostay> oh well if isn't in there in the morning i won't be going in :)
  • [07:30:38] * jpirko (~jirka@ip-94-112-98-141.net.upcbroadband.cz) has joined #beagle
  • [07:33:33] * mhaberler (~mhaberler@213-33-18-48.adsl.highway.telekom.at) has joined #beagle
  • [07:33:34] * mhaberler (~mhaberler@213-33-18-48.adsl.highway.telekom.at) has joined #beaglebone
  • [07:34:32] <mranostay> *whew* adp sit says it is being processed
  • [07:36:21] <mranostay> that 2% tax break in Social Security is noticable now
  • [07:39:01] * emeb_mac (~ericb@ip72-201-78-226.ph.ph.cox.net) Quit (Quit: emeb_mac)
  • [07:40:36] * Ceriand|desktop (~Ceriand@unaffiliated/ceriand) Quit (Quit: Leaving.)
  • [07:45:34] <_av500_> your $3/hour?
  • [07:47:14] <mranostay> _av500_: heh no
  • [07:47:39] * Bryanstein (~Bryanstei@shellium/admin/bryanstein) Quit (Read error: Operation timed out)
  • [07:50:29] * Bryanstein (~Bryanstei@shellium/admin/bryanstein) has joined #beagle
  • [07:52:29] * Bryanstein (~Bryanstei@shellium/admin/bryanstein) has joined #beaglebone
  • [07:53:37] <Russ> as far as ELC goes, what happens on the 22nd?
  • [07:57:00] <aholler> XorA|gone: http://www.amiexpat.com/2008/03/10/sorting-trash-in-germany/
  • [07:58:38] * vwang (930bfc2a@gateway/web/freenode/ip.147.11.252.42) has joined #beagle
  • [08:00:12] <XorA|gone> aholler: not much different from my place, but then the local government takes it away and throws it in a landfill anyway
  • [08:01:13] * vwang (930bfc2a@gateway/web/freenode/ip.147.11.252.42) Quit (Client Quit)
  • [08:01:34] * vwang (930bfc2a@gateway/web/freenode/ip.147.11.252.42) has joined #beagle
  • [08:03:15] <aholler> Doesn't seem to happen here. I have learned that thing with the needed additive from an article about the local garbage incineration where they said that changed in the last years.
  • [08:03:40] <XorA|gone> aholler: Edinburgh gets fined every month for failing to meet even the low EU standards
  • [08:04:11] <XorA|gone> anyway sleep time hre
  • [08:07:22] * Guest59068 (~bleh1@87.254.84.104) Quit (Ping timeout: 252 seconds)
  • [08:11:10] * KidBeta (~KidBeta@124-169-136-33.dyn.iinet.net.au) has joined #beagle
  • [08:13:23] <mrpackethead_> oh...
  • [08:13:26] <aholler> I haven't forgotten that because it's a bit catch-22, we are sorting all kind of stuff to save resources, but then we have to use oil
  • [08:13:42] <mrpackethead_> whos workign for $3.00/hr
  • [08:13:47] <mrpackethead_> i'll pay $6.00
  • [08:24:18] * mhaberler (~mhaberler@213-33-18-48.adsl.highway.telekom.at) Quit (Quit: mhaberler)
  • [08:24:23] * ant_work (~ant@host6-80-static.42-85-b.business.telecomitalia.it) has joined #beagle
  • [08:27:04] * florian (~fuchs@Maemo/community/contributor/florian) has joined #beagle
  • [08:35:40] * vwang (930bfc2a@gateway/web/freenode/ip.147.11.252.42) Quit (Quit: Page closed)
  • [08:37:24] * mythos (~mythos@unaffiliated/mythos) Quit (Ping timeout: 264 seconds)
  • [08:37:38] * Russ (~russ@pool-74-100-57-85.lsanca.fios.verizon.net) Quit (Read error: Connection reset by peer)
  • [08:38:35] * Russ (~russ@pool-74-100-57-85.lsanca.fios.verizon.net) has joined #beagle
  • [08:39:40] * KidBeta (~KidBeta@124-169-136-33.dyn.iinet.net.au) Quit (Ping timeout: 246 seconds)
  • [08:44:23] * panto (~panto@195.97.110.117) has joined #beagle
  • [08:47:28] <Russ> wow, tripit is smart
  • [08:47:30] <Russ> http://i.imgur.com/6zPj7.jpg
  • [08:50:57] * mythos (~mythos@unaffiliated/mythos) has joined #beagle
  • [08:52:43] * KidBeta (~KidBeta@124-169-136-33.dyn.iinet.net.au) has joined #beagle
  • [08:54:42] * KidBeta (~KidBeta@124-169-136-33.dyn.iinet.net.au) Quit (Client Quit)
  • [08:55:02] * Russ (~russ@pool-74-100-57-85.lsanca.fios.verizon.net) Quit (Remote host closed the connection)
  • [08:55:44] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) Quit (Ping timeout: 255 seconds)
  • [08:56:51] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) has joined #beagle
  • [08:57:12] * Russ (~russ@pool-74-100-57-85.lsanca.fios.verizon.net) has joined #beagle
  • [08:59:04] * mythos (~mythos@unaffiliated/mythos) Quit (Ping timeout: 272 seconds)
  • [08:59:16] <Russ> ok, I just had X die, and all the stuff I had typed was on the tty7 behind it
  • [08:59:40] <Russ> that seems like a bad thing???
  • [08:59:58] <av500> dm8tbr: http://news.ycombinator.com/item?id=5077459
  • [09:00:08] * jpsaman (~jpsaman@videolan/developer/jpsaman) has joined #beagle
  • [09:03:39] <av500> dm8tbr: https://github.com/kumadasu/tizen-history/blob/master/tizen-history.pdf
  • [09:05:49] <panto> hi Russ
  • [09:05:55] <panto> hi av500^Wtroll
  • [09:09:20] <dm8tbr> av500: yeah, I know that history pdf. linking old mer and new mer is a bit weird though. they only share a name
  • [09:09:30] * calculu5 (~calculus@gentoo/user/calculus) has joined #beagle
  • [09:12:52] * calculus (~calculus@gentoo/user/calculus) Quit (Ping timeout: 248 seconds)
  • [09:15:11] * tema (~tema@ppp91-122-92-92.pppoe.avangarddsl.ru) has joined #beagle
  • [09:18:29] <Russ> hey panto
  • [09:19:05] <Russ> weird, I just noticed that the word 'dub' is a happy guy listening to music
  • [09:20:10] <Dunearhp_> I've managed to compile and install a console-image install. It brings up a login prompt but when I type root it just returns to the login prompt
  • [09:20:17] <Dunearhp_> any suggestions?
  • [09:21:00] <panto> Dunearhp_, that sounds oddly familiar
  • [09:22:16] <panto> http://damienkatz.net/2013/01/the_unreasonable_effectiveness_of_c.html <- just seen it
  • [09:23:21] * Wipster (~Wip@host81-137-80-202.in-addr.btopenworld.com) has joined #beagle
  • [09:23:39] * nullpuppy (~dustin@freematrix/staff/nullpuppy) Quit (Ping timeout: 276 seconds)
  • [09:24:48] * nullpuppy (~dustin@freematrix/staff/nullpuppy) has joined #beagle
  • [09:26:08] <KotH> Dunearhp_: check whether root has a shell and whether it can be executed
  • [09:26:18] <KotH> Dunearhp_: oh..and being execute doesnt return imediatly
  • [09:26:38] <panto> boot using init=/bin/sh if need be
  • [09:32:23] * calculu5 is now known as calculus
  • [09:33:09] * KidBeta (~KidBeta@124-171-44-83.dyn.iinet.net.au) has joined #beagle
  • [09:33:48] <Russ> prpplague, there you go http://jasonevanish.com/2013/01/17/25-things-i-wish-i-knew-before-moving-to-san-francisco/
  • [09:47:00] * nullpuppy (~dustin@freematrix/staff/nullpuppy) Quit (Ping timeout: 240 seconds)
  • [09:49:13] * nullpuppy (~dustin@freematrix/staff/nullpuppy) has joined #beagle
  • [09:56:09] <Dunearhp_> KotH: It is busybox, can't see any obvious causes
  • [09:59:33] * mythos (~mythos@unaffiliated/mythos) has joined #beagle
  • [10:05:17] * jago25_98 (~j@174-143-147-204.static.cloud-ips.com) has joined #beagle
  • [10:16:20] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) Quit (Ping timeout: 272 seconds)
  • [10:19:46] * tema (~tema@ppp91-122-92-92.pppoe.avangarddsl.ru) Quit (Ping timeout: 246 seconds)
  • [10:20:08] <ynezz> Dunearhp_: systemd to blame?
  • [10:20:24] <av500> of course
  • [10:20:55] <ynezz> plug & pray!
  • [10:32:49] <Dunearhp_> Likely, not familiar enough with it to debug it. Don't have the log 'journal' reading program on my desktop, so the logs are essentially opaque.
  • [10:33:30] <Dunearhp_> I'm compiling a gnome system image, hopefully that won't give me a similar problem
  • [10:34:49] <ynezz> no, that's sure
  • [10:35:13] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) has joined #beagle
  • [10:35:14] <ynezz> maybe dozen different ones, but there's enough images to keep you going during the whole weekend :p
  • [10:35:59] <Dunearhp_> and to think, this project was only due last week
  • [10:36:24] <ynezz> we've some projects due 2 years
  • [10:36:27] <ynezz> so don't worry
  • [10:37:40] * felipealmeida (~user@mvx-187-16-79-187.mundivox.com) has joined #beagleboard
  • [10:38:08] * felipealmeida (~user@mvx-187-16-79-187.mundivox.com) has joined #beagle
  • [10:49:04] * AndrevS (~andrevs@grombeestje.xs4all.nl) has joined #beagle
  • [11:02:22] * gustavoz (~gustavoz@host110.190-225-90.telecom.net.ar) has joined #beagle
  • [11:03:48] * slchen (~slchen@123-195-161-155.dynamic.kbronet.com.tw) has joined #beagle
  • [11:06:40] * grey_wolf (~greywolf@c-24-17-167-106.hsd1.wa.comcast.net) Quit (Ping timeout: 252 seconds)
  • [11:07:39] * grey_wolf (~greywolf@c-24-17-167-106.hsd1.wa.comcast.net) has joined #beagle
  • [11:12:32] * ncbas (~ncbas@63-11.bbned.dsl.internl.net) has joined #beagle
  • [11:12:34] * ncbas is now known as modmaker
  • [11:20:08] * florian (~fuchs@Maemo/community/contributor/florian) Quit (Read error: Operation timed out)
  • [11:21:05] * florian (~fuchs@Maemo/community/contributor/florian) has joined #beagle
  • [11:22:30] * ka6sox is now known as ka6sox-away
  • [11:41:19] * thaytan (~thaytan@113.94.233.220.static.exetel.com.au) Quit (Quit: cya!)
  • [11:41:53] * thaytan (~thaytan@113.94.233.220.static.exetel.com.au) has joined #beagle
  • [11:41:55] * thaytan (~thaytan@113.94.233.220.static.exetel.com.au) Quit (Excess Flood)
  • [11:42:23] * thaytan (~thaytan@113.94.233.220.static.exetel.com.au) has joined #beagle
  • [11:42:57] <aholler> amg, a picture in a pdf in git.
  • [11:43:26] <mru> picture coded how?
  • [11:43:46] <mru> jpeg photo of printout of svg?
  • [11:43:53] * mrcan (~mrcan@unaffiliated/mrcan) Quit (Read error: Connection reset by peer)
  • [11:44:02] <aholler> ??ppls like graphviz, that url above from av500 with the tizen history
  • [11:44:17] * mrcan (~mrcan@unaffiliated/mrcan) has joined #beaglebone
  • [11:44:17] * mrcan (~mrcan@unaffiliated/mrcan) has joined #beagleboard
  • [11:44:17] * mrcan (~mrcan@unaffiliated/mrcan) has joined #beagle
  • [11:44:18] <aholler> uups s/??ppls/looks/
  • [11:45:38] <av500> I like ????pls
  • [11:45:41] <av500> ??ppls
  • [11:46:30] * mrcan (~mrcan@unaffiliated/mrcan) Quit (Read error: Connection reset by peer)
  • [11:47:06] * kiilo (~kiilo@46-126-76-28.dynamic.hispeed.ch) has joined #beagle
  • [11:48:43] <ogra_> ++ for ??ppls !
  • [11:50:48] * gustavoz (~gustavoz@host110.190-225-90.telecom.net.ar) Quit (Quit: Leaving)
  • [11:54:04] * gustavoz (~gustavoz@host110.190-225-90.telecom.net.ar) has joined #beagle
  • [11:55:23] * bisi (c1cd82f9@gateway/web/freenode/ip.193.205.130.249) has joined #beagle
  • [11:59:16] * mhaberler (~mhaberler@213-33-18-48.adsl.highway.telekom.at) has joined #beagle
  • [11:59:16] * mhaberler (~mhaberler@213-33-18-48.adsl.highway.telekom.at) has joined #beaglebone
  • [12:07:09] <LetoThe2nd> \o/ ??ppls
  • [12:09:56] <jkridner> gm all
  • [12:11:39] <panto> hi jkridner
  • [12:11:46] <LetoThe2nd> \o/ our chief ??ppl has arrived!
  • [12:17:50] * stahl (~stahl@181-178.194-178.cust.bluewin.ch) has joined #beagle
  • [12:19:47] * dj_pi (~asd@c-107-5-25-243.hsd1.mi.comcast.net) has joined #beagle
  • [12:22:33] * bisi (c1cd82f9@gateway/web/freenode/ip.193.205.130.249) Quit (Ping timeout: 245 seconds)
  • [12:23:10] * vrb (~vrb@192.91.66.186) Quit (Quit: leaving)
  • [12:24:24] * stahl (~stahl@181-178.194-178.cust.bluewin.ch) Quit (Ping timeout: 256 seconds)
  • [12:29:20] * dj_pi (~asd@c-107-5-25-243.hsd1.mi.comcast.net) Quit (Ping timeout: 260 seconds)
  • [12:31:34] <aholler> calling C highly productive is odd.
  • [12:33:24] <av500> there is always c++ for moar higher
  • [12:34:01] * kiilo (~kiilo@46-126-76-28.dynamic.hispeed.ch) Quit (Quit: ciao)
  • [12:34:14] * kiilo (~kiilo@46-126-76-28.dynamic.hispeed.ch) has joined #beagle
  • [12:34:38] <aholler> c++, escpecially the stl it comes with, is great.
  • [12:35:17] * panto disagrees and goes to grab lunch
  • [12:35:32] <av500> +1
  • [12:38:13] * Wipster (~Wip@host81-137-80-202.in-addr.btopenworld.com) Quit (Ping timeout: 272 seconds)
  • [12:40:26] <mdp> c++ will be highly productive tomorrow
  • [12:41:41] <aholler> just put some strings (or however you want to call them in C) in a hashed set in C.
  • [12:42:00] * Tartarus (trini@pixelshelf.com) Quit (Ping timeout: 240 seconds)
  • [12:42:08] <KotH> aholler: outsource that to china
  • [12:42:09] * mrpacket_ (~mrpacketh@118-93-95-178.dsl.dyn.ihug.co.nz) has joined #beagle
  • [12:42:16] <KotH> aholler: faster than writing yourself in c++
  • [12:42:37] <aholler> never
  • [12:42:52] <KotH> it is
  • [12:42:55] <av500> aholler: easy, use strings as filenames and let the filesystem handle it for you :)
  • [12:42:57] <KotH> we have proof!
  • [12:43:00] * mrpackethead_ (~mrpacketh@118-93-95-178.dsl.dyn.ihug.co.nz) Quit (Ping timeout: 240 seconds)
  • [12:43:04] * Tartarus (trini@pixelshelf.com) has joined #beagle
  • [12:43:19] <av500> language war!
  • [12:43:21] <av500> fight!
  • [12:43:37] <mdp> fatality!
  • [12:43:46] <LetoThe2nd> bavarian rules all, hence any language war is futile.
  • [12:44:21] <av500> I think C++ is stupid because I have to put extern "C" everywhere!!!!
  • [12:44:25] <KotH> PERL! the only language that can be written by rolling an armadillo over your keyboard!
  • [12:44:36] <bradfa> good Friday to the channel
  • [12:44:54] <Crofton|work> someone is milking the MUSB for omap driver
  • [12:44:57] <aholler> still better than getting things broken by tabs
  • [12:44:58] <KotH> good friday to you to, user
  • [12:45:13] <av500> Crofton|work: ?
  • [12:45:27] <Crofton|work> it seems like there are always patches being psted to it
  • [12:45:35] <av500> of course
  • [12:45:36] * KotH would milk a cow
  • [12:45:42] <KotH> but that's probably just my swiss side
  • [12:45:51] <Crofton|work> can't they ever finish it
  • [12:46:00] <aholler> write it new
  • [12:46:04] <av500> Crofton|work: take it out back and shoot it
  • [12:46:18] <Crofton|work> how American
  • [12:46:37] <av500> well, stab it to death
  • [12:47:01] <av500> or bore it to death be reading the 2nd amendment
  • [12:47:04] <av500> by*
  • [12:47:16] <Crofton|work> hmm, you must see my facebook page
  • [12:47:22] <av500> i must not
  • [12:47:29] <av500> sec
  • [12:47:37] <KotH> Crofton|work: av500 is g+ only
  • [12:47:45] <KotH> Crofton|work: nothing else runs on his tablets
  • [12:47:54] <aholler> is musb used an the am1808 too?
  • [12:48:29] <aholler> s/an/on/, need coffee
  • [12:49:13] <av500> Crofton|work: sorry, ma fb password is at home I think
  • [12:49:45] <av500> it might be under my home
  • [12:50:15] <av500> pouring the foundation was a good time to get rid of ..... stuff
  • [12:52:12] <aholler> it is :(
  • [12:52:19] <KotH> av500: the phrase "leichen im keller" gets a whole new meaning :)
  • [12:52:56] <mdp> aholler: yes
  • [12:53:23] <mdp> aholler: there's an OHCI on am1808 and then the musb is the only source of usb 2.0edness
  • [12:55:36] <av500> jolly good
  • [12:57:41] * florian (~fuchs@Maemo/community/contributor/florian) Quit (Quit: Client exiting)
  • [12:58:50] <mdp> av500, that's not been my experience with either usb on that platform...but..it's friday and I understand your exhuberance
  • [12:59:03] * mdp bounces
  • [13:02:20] <panto> back
  • [13:05:24] <aholler> mayb bitbanging usb 2.0 is an option ;)
  • [13:05:31] <mru> like on the n900
  • [13:05:52] * NulL (~bleh1@87.254.84.104) has joined #beagle
  • [13:06:15] * NulL is now known as Guest86266
  • [13:06:51] <aholler> nokia did that?
  • [13:07:00] <mru> yes
  • [13:07:01] <mru> ask dm8tbr
  • [13:07:44] <aholler> I'll have one too, but never played much the usb stuff on it. I'm just using it for charging
  • [13:07:46] <dm8tbr> hwut?
  • [13:08:02] <dm8tbr> it was not Nokia, it was the community
  • [13:08:17] <mru> whatever
  • [13:08:19] <mru> it was done
  • [13:08:19] * Hoolxi (~Openfree@117.144.31.39) has joined #beagle
  • [13:08:23] <dm8tbr> the HW design was f*ked up so that native host mode would not work
  • [13:08:24] <mru> that's what counts
  • [13:08:33] <mru> and _that_ nokia did
  • [13:08:37] <dm8tbr> so they worked around by bitbanging USB in test mode
  • [13:08:40] <dm8tbr> yes
  • [13:14:51] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) Quit (Quit: ChatZilla 0.9.89 [Firefox 18.0/20130104151925])
  • [13:21:56] * Hoolxi (~Openfree@117.144.31.39) Quit (Ping timeout: 248 seconds)
  • [13:27:42] * forcev (~quassel@forcev.net) has joined #beagle
  • [13:28:22] * PaulePanter (~paul@mail.gw90.de) Quit (Read error: Operation timed out)
  • [13:29:56] * FunkyPenguin (~quassel@opensuse/member/FunkyPenguin) Quit (Ping timeout: 248 seconds)
  • [13:31:48] * forcev is now known as FunkyPenguin
  • [13:31:58] * FunkyPenguin (~quassel@forcev.net) Quit (Changing host)
  • [13:31:58] * FunkyPenguin (~quassel@opensuse/member/FunkyPenguin) has joined #beagle
  • [13:33:57] <av500> YES!: https://plus.google.com/112157387623314703001/posts/hEoJd3pccyb
  • [13:34:17] <aholler> considering that bitbanging usb 1.0 is no problem on slow avr's, it might be an option for faster processors.
  • [13:35:08] <mru> aholler: have you ever noticed how much cpu time usb tends to eat even when not bitbanging it?
  • [13:35:19] <aholler> no
  • [13:35:21] <panto> usb sucks
  • [13:35:26] <panto> film at 11
  • [13:36:29] <aholler> usally usb just works here on most machines. And I never have seen anything which eats up load which I would count to usb.
  • [13:37:22] <panto> aholler, you haven't tried to pump out too much data out of it with a relatively low end CPU
  • [13:38:27] <aholler> my dockstar (armv5) uses usb-disks only. But I'm unable to compare the load with what it would be with sata or similiar
  • [13:39:13] <aholler> but it is able to do 25mb/s
  • [13:39:40] <jackmitchell> koen: I've been using 3.8-rc4 from your cco-tree today and I've seen some irregularities. The bone quite often dies and I assume it is a kernel issue as it hard locks and the heartbeat leds stop
  • [13:39:51] * Hoolxi (~Openfree@117.144.73.164) has joined #beagle
  • [13:40:13] <jackmitchell> koen: I haven't managed to diagnose it yet, but will keep trying. Have you seen anything similar?
  • [13:42:25] <panto> jackmitchell, on usb power or DC jack?
  • [13:43:00] * KidBeta (~KidBeta@124-171-44-83.dyn.iinet.net.au) Quit (Ping timeout: 240 seconds)
  • [13:43:15] <jackmitchell> panto: usb from a 1amp transformer
  • [13:43:19] <koen> jackmitchell: yes, but using DC power seem to help
  • [13:43:44] <panto> what koen said
  • [13:43:49] <jackmitchell> koen: ok, I'll switch to my DC and see if it happens again
  • [13:45:22] <mru> koen: why do those boards pretend to support usb power when it doesn't actually work?
  • [13:46:15] <panto> mru, they do support usb power - there could be something wrong with the regulator settings
  • [13:46:28] <panto> 3.8-rc4 <- alpha kernel
  • [13:46:35] <panto> here be dragons
  • [13:48:04] <aholler> but already rc4
  • [13:50:09] <koen> jackmitchell: fwiw, the usb part is current limited, so having more than 800mA is not going to help
  • [13:50:29] <panto> aholler, I mean the TI parts are alpha
  • [13:51:24] <aholler> ah, ok.
  • [13:53:41] * arcanescu (925706ef@gateway/web/freenode/ip.146.87.6.239) has joined #beagle
  • [13:55:15] <jackmitchell> panto: koen: there seems to be a trend here, I haven't switched over to DC yet, but I will now. However perf record terminating crashes the bone everytime
  • [13:58:15] <jackmitchell> and the same on DC
  • [13:58:46] <jackmitchell> will hook it up to the ftdi and see if it spits anything useful out before death
  • [13:59:31] <aholler> you're talking about dying kernels without having the serial attached?
  • [13:59:49] <aholler> sounds like a plan ;)
  • [14:00:12] <jackmitchell> sure am, using the heartbeat led as an initial indicator (before I move my lazy self to the other side of the room to hook up ;) )
  • [14:01:19] <jackmitchell> ok, nothing useful spat out over ftdi either
  • [14:01:33] * felipealmeida (~user@mvx-187-16-79-187.mundivox.com) Quit (Read error: Connection reset by peer)
  • [14:02:32] <aholler> and you have console=tty* in the kernel cmdline?
  • [14:04:00] <jackmitchell> console=ttyO0,115200n8 consoleblank=0 root=/dev/mmcblk0p2 ro rootfstype=ext4 rootwait
  • [14:05:37] <aholler> my experience was that the hardbeat-led was pretty resistent against most kernel bugs and it now just stops when the kernel enters his panic-routines, which means he likely spits out something
  • [14:06:13] <aholler> so it looks like something fails hard
  • [14:09:31] <jackmitchell> indeed
  • [14:09:49] <jackmitchell> I think i'm going to have to build an earlier kernel and confirm it doesn;t happen there and go from that
  • [14:10:01] <aholler> try to enable lock debuging
  • [14:10:19] <jackmitchell> ok will try and find it in the config
  • [14:10:47] <aholler> it's below kernel-hacking
  • [14:11:06] * smplman (~speery@64.132.167.18) has joined #beagle
  • [14:12:39] * mhaberler (~mhaberler@213-33-18-48.adsl.highway.telekom.at) Quit (Quit: mhaberler)
  • [14:13:02] * iPhoneMRZ (~iphonemrz@89-97-229-110.ip19.fastwebnet.it) has joined #beagle
  • [14:13:07] * iPhoneMRZ (~iphonemrz@89-97-229-110.ip19.fastwebnet.it) Quit (Remote host closed the connection)
  • [14:13:13] <aholler> all that kernel-debug-stuff got pretty usable.
  • [14:13:51] <jackmitchell> CONFIG_DEBUG_SPINLOCK=y
  • [14:13:51] <jackmitchell> CONFIG_DEBUG_MUTEXES=y
  • [14:13:51] <jackmitchell> # CONFIG_DEBUG_LOCK_ALLOC is not set
  • [14:13:51] <jackmitchell> # CONFIG_PROVE_LOCKING is not set
  • [14:14:07] <aholler> rcu is missing
  • [14:14:20] <aholler> and enable prove locking
  • [14:14:25] <av500> and wake locking
  • [14:14:33] <aholler> thats android
  • [14:14:54] <aholler> or is it used by other stuff now too?
  • [14:15:01] * prpplague (~prpplague@107-206-64-184.lightspeed.rcsntx.sbcglobal.net) Quit (Quit: Later Folks!)
  • [14:15:11] <mru> av500: wakelock, is that what your kids do to you?
  • [14:15:12] <jackmitchell> rcu_trace and prove_locking?
  • [14:16:20] <aholler> trace sounds like trace
  • [14:16:34] <aholler> buzt probe locking is good
  • [14:16:46] <aholler> but prove
  • [14:17:28] <aholler> config_prove_rcu
  • [14:18:06] <jackmitchell> I don't seem to have a prove_rcu
  • [14:18:08] * NishanthMenon (~nmenon@192.94.92.14) has joined #beagle
  • [14:18:40] <aholler> it gets visible with CONFIG_PROVE_LOCKING
  • [14:18:55] <aholler> at least with 3.7
  • [14:20:07] * phantoxeD (~destroy@a89-155-22-21.cpe.netcabo.pt) Quit (Read error: Connection reset by peer)
  • [14:20:33] * phantoxeD (~destroy@a89-155-22-21.cpe.netcabo.pt) has joined #beagle
  • [14:21:02] <jackmitchell> Ok, we're in I'll try transfering it over and see how it goes
  • [14:26:28] * DevBot (~supybot@2001:6f8:12e0::7) Quit (Remote host closed the connection)
  • [14:26:37] * DevBot (~supybot@2001:6f8:12e0::7) has joined #beagle
  • [14:27:13] <jackmitchell> ok same issue and no more info with the perf record hard locking the kernel, however
  • [14:27:21] <jackmitchell> the RCU locking debug did throw this up:
  • [14:27:22] <jackmitchell> [ 2.321123] ===============================
  • [14:27:22] <jackmitchell> [ 2.325591] [ INFO: suspicious RCU usage. ]
  • [14:27:22] <jackmitchell> [ 2.330063] 3.8.0-rc4-00291-gbb85e3f-dirty #2 Not tainted
  • [14:27:22] <jackmitchell> [ 2.335805] -------------------------------
  • [14:27:22] <jackmitchell> [ 2.340270] drivers/base/power/opp.c:157 suspicious rcu_dereference_check() usage!
  • [14:27:23] <jackmitchell> [ 2.348285]
  • [14:27:23] <jackmitchell> other info that might help us debug this:
  • [14:27:23] <jackmitchell> [ 2.356769]
  • [14:27:24] <jackmitchell> rcu_scheduler_active = 1, debug_locks = 1
  • [14:27:25] <jackmitchell> [ 2.363727] no locks held by swapper/0/1.
  • [14:27:25] <jackmitchell> [ 2.368006]
  • [14:27:26] <jackmitchell> stack backtrace:
  • [14:27:26] <jackmitchell> [ 2.372707] [<c0013678>] (unwind_backtrace+0x0/0xe0) from [<c03475bc>] (opp_get_voltage+0x78/0xc8)
  • [14:27:54] <jackmitchell> which could possibly be related to the power issues
  • [14:28:20] <aholler> just ignore that, i have that with 3.7 too
  • [14:28:25] <jackmitchell> ah ok
  • [14:28:26] <aholler> so nothing changed there ;)
  • [14:28:29] * nvd (51aad0f2@gateway/web/freenode/ip.81.170.208.242) has joined #beagle
  • [14:28:48] <NishanthMenon> jackmitchell, how did you see this?
  • [14:28:55] <panto> jackmitchell, is that with CPUFREQ on?
  • [14:28:58] <aholler> config_prove_rcu
  • [14:29:05] <NishanthMenon> opp.c has'nt added new patches recently
  • [14:29:13] * gustavoz (~gustavoz@host110.190-225-90.telecom.net.ar) Quit (Read error: Connection reset by peer)
  • [14:29:31] * Niraj (75cc0794@gateway/web/freenode/ip.117.204.7.148) has joined #beagle
  • [14:30:00] <Niraj> Hello my beagleboard-xm is not booting. Can anyone help>
  • [14:30:01] <Niraj> ?
  • [14:30:02] <jackmitchell> panto: I'm not sure, Koens deafult config with a few locking debus options enabled
  • [14:30:52] <jackmitchell> it does look like CPUFREQ is on from the .config
  • [14:31:37] <aholler> I had a quick look at that bug haven't seen the problem without digging much.
  • [14:32:33] <aholler> it just might be suspicious, whatever that means
  • [14:33:12] <NishanthMenon> jackmitchell, aholler -> it does seem from the log the issue is as follows:
  • [14:33:26] <NishanthMenon> a) opp_deference(opp) must be done under rcu_readlock
  • [14:33:56] <Niraj> When dc power is connected the TPS65950 heats up to more than 50C
  • [14:34:08] <Niraj> but the linux is not booting
  • [14:34:19] <NishanthMenon> so the real usage is: rcu_read_lock; opp_find... ; get_voltage; get_freq; rcu_read_unlock
  • [14:34:24] <aholler> Niraj: have read that, I think I've also seen some rejected patch which tried to fix that
  • [14:34:33] <aholler> NishanthMenon: ^^
  • [14:35:03] <NishanthMenon> jackmitchell, the usage looks: rcu_read_lock; opp_find... ; rcu_read_unlock; get_voltage; get_freq
  • [14:35:21] <Niraj> aholler : can you please help and let me know what to do?
  • [14:35:27] <jackmitchell> ok, the the unlock should be after the get_voltage and get_freq?
  • [14:35:34] <NishanthMenon> jackmitchell, yes
  • [14:35:37] <aholler> NishanthMenon: https://patchwork.kernel.org/patch/879022/
  • [14:35:55] <panto> Niraj, have you tried with an known good image?
  • [14:36:07] <Niraj> yes
  • [14:36:11] <panto> do you see any u-boot message?
  • [14:36:18] <Niraj> panto : yes
  • [14:36:28] <panto> ok, what is the Linux boot log?
  • [14:36:51] <aholler> NishanthMenon: you nacked that ;)
  • [14:36:59] <Niraj> panto: the mmc leds are not turning on at all
  • [14:37:01] <NishanthMenon> as documented in http://goo.gl/LTtdq line 235
  • [14:37:21] <Niraj> panto: I checked the verification test point voltages
  • [14:37:25] <NishanthMenon> aholler, that IS WRONG
  • [14:37:40] <NishanthMenon> the patch you pointed is obviously wrong
  • [14:37:44] <Niraj> pant: except for VBAT and VUSB all other are at 0V
  • [14:37:45] <NishanthMenon> think this way:
  • [14:38:06] <NishanthMenon> rcu readlock is meant to protect a range of code where the pointers protected by rcu will be sane
  • [14:38:06] <aholler> NishanthMenon: ah, I read it such that the bug is only suspicious
  • [14:38:18] * Ben__ (c15f5062@gateway/web/freenode/ip.193.95.80.98) has joined #beagle
  • [14:38:21] <aholler> therefor I haven't looked further
  • [14:38:24] <NishanthMenon> the pointer protected is opp pointer
  • [14:38:33] <NishanthMenon> so you get find_opp opp pointer
  • [14:38:38] <NishanthMenon> then you do rcu_unlock
  • [14:38:50] <NishanthMenon> the pointer is no longer maintained safe by rcu
  • [14:39:08] <NishanthMenon> then one goes get_voltage on a pointer that is no longer ssafe by rcu? that is dumb
  • [14:39:56] <panto> where are you pulling your u-boot image from if not from mmc?
  • [14:40:02] <NishanthMenon> jackmitchell, if you point at the full stack trace, we can catch the culprit code
  • [14:40:08] <NishanthMenon> and probably be able to see the fix
  • [14:40:36] <aholler> NishanthMenon: I haven't had a look at the patch, I just misunderstood your nack and regarded the bug just as suspicous ;)
  • [14:40:57] <NishanthMenon> aholler, np, happens.. i try to keep a close track on opp code :D
  • [14:40:58] <Niraj> punto: I am pulling the u-boot from mmc but unfortunately the beagleboad is not starting up and reading the mmc
  • [14:41:07] <jackmitchell> NishanthMenon: how would I do that?
  • [14:41:15] <NishanthMenon> the stack dump
  • [14:41:23] <jackmitchell> I'm perusing through pm.c in mach-omap2 at the monet
  • [14:41:24] <NishanthMenon> jackmitchell, pastebin the stack dump
  • [14:41:29] <jackmitchell> s/monet/moment
  • [14:41:32] <panto> you haven't provided a log
  • [14:41:36] * Hoolxi (~Openfree@117.144.73.164) Quit (Remote host closed the connection)
  • [14:42:01] <NishanthMenon> jackmitchell, " [ 2.372707] [<c0013678>] (unwind_backtrace+0x0/0xe0) from [<c03475bc>] (opp_get_voltage+0x78/0xc8)" -> id like to see remaining stack trace as well
  • [14:42:23] <Niraj> punto: can you tell me how can I pull out the log from the sdcard?
  • [14:42:32] <jackmitchell> NishanthMenon: http://pastebin.com/6Y0bpCFi
  • [14:42:33] * Ben__ (c15f5062@gateway/web/freenode/ip.193.95.80.98) Quit (Ping timeout: 245 seconds)
  • [14:42:50] <NishanthMenon> aha cpu0_cpufreq_driver_init
  • [14:43:24] <NishanthMenon> jackmitchell, k.org?
  • [14:44:11] <jackmitchell> sorry?
  • [14:44:52] <NishanthMenon> jackmitchell, never mind, I am fixing it
  • [14:44:56] <NishanthMenon> hold on a few mins for a patch
  • [14:45:15] * NishanthMenon wonders how this escaped my mail filters :(
  • [14:45:30] <aholler> NishanthMenon: http://dpaste.de/5j4qX/
  • [14:45:43] <aholler> looks a bit more verbose, that is what I had
  • [14:45:59] * NishanthMenon grumbles that people dont read documentation!
  • [14:46:05] <NishanthMenon> aholler, that looks like a different buyg
  • [14:46:07] <NishanthMenon> hold on
  • [14:46:13] <NishanthMenon> will fix that as well
  • [14:46:23] <aholler> uups, yes
  • [14:46:59] <aholler> mom, I look if I still have the bug saved in another file ;)
  • [14:47:01] <NishanthMenon> jackmitchell, http://pastebin.com/uaVgS19N
  • [14:47:08] <NishanthMenon> jackmitchell, try that and let me know
  • [14:47:28] <NishanthMenon> found another issue beyond driver_init
  • [14:48:53] <NishanthMenon> aholler, http://pastebin.com/rSX9KpDG
  • [14:48:56] <NishanthMenon> try that out please
  • [14:49:23] <NishanthMenon> aholler, jackmitchell can you /msg your full name and mail id so that I can add "reported-by:" to upstream
  • [14:49:30] <NishanthMenon> and ofcourse CC you in the patches?
  • [14:49:35] <aholler> hmm, work ;)
  • [14:50:02] <NishanthMenon> aholler, :D
  • [14:50:17] * NishanthMenon grumbles
  • [14:50:20] <aholler> I will need some time, still at 3.2.x nothing above boots from ehci here ;)
  • [14:51:56] * PaulePanter (~paul@mail.gw90.de) has joined #beagle
  • [14:52:04] <NishanthMenon> aholler, np, just drop me a note sometime when you get free . my email id is in signed-off-by and from in http://goo.gl/fDaZy
  • [14:52:07] * PaulePanter (~paul@mail.gw90.de) has joined #beagleboard
  • [14:52:54] * NishanthMenon decides to audit and fix entire k.org 3.8-rc4
  • [14:52:55] <NishanthMenon> :(
  • [14:53:04] <NishanthMenon> at least for opp usage
  • [14:54:12] <jackmitchell> NishanthMenon: I can confirm that the patch fixes (or at least silences :P) the error
  • [14:54:19] * jpirko (~jirka@ip-94-112-98-141.net.upcbroadband.cz) Quit (Quit: Leaving)
  • [14:54:29] <NishanthMenon> jackmitchell, as I expected..
  • [14:54:37] <NishanthMenon> jackmitchell, someone forgot to RTFM
  • [14:55:04] <NishanthMenon> jackmitchell, if you are interested in reported-by and a tested-by tag, drop me a note
  • [14:55:38] <jackmitchell> NishanthMenon: you should have just had it through on pm?
  • [14:55:50] <NishanthMenon> ??
  • [14:56:11] <NishanthMenon> jackmitchell, i did not understand
  • [14:56:17] <jackmitchell> NishanthMenon: obviosuly not playing ball today: jack at embed dot me dot uk
  • [14:56:27] <NishanthMenon> jackmitchell, thanks
  • [14:56:53] <NishanthMenon> jackmitchell, one more minor question: tested on beagle XM?
  • [14:57:01] <jackmitchell> just beaglebone
  • [14:57:07] <NishanthMenon> jackmitchell, thanks
  • [14:57:16] <NishanthMenon> will post patch in a few mins and cc you
  • [14:57:21] <jackmitchell> np
  • [14:58:32] <aholler> btw. don't know if it counts to opp, but vaux2 is still missing a regulator
  • [14:58:54] * ynezz (ynezz@ibawizard.net) Quit (*.net *.split)
  • [14:58:55] * Rious (~Rious@ec2-50-17-240-121.compute-1.amazonaws.com) Quit (*.net *.split)
  • [14:58:55] * armin76 (~armin@gentoo/developer/armin76) Quit (*.net *.split)
  • [14:59:01] * ynezz (ynezz@ibawizard.net) has joined #beagle
  • [14:59:13] <aholler> I think everything from beagle c4 upwards uses it for vdd_ehci
  • [14:59:18] <NishanthMenon> aholler, wont count as opp thingy -> regulator missing seems to be dts thingy
  • [14:59:22] * armin76 (~armin@gentoo/developer/armin76) has joined #beagle
  • [14:59:34] <aholler> I'm still without
  • [14:59:46] <aholler> will the board files disappear?
  • [15:00:06] * Rious (~Rious@ec2-50-17-240-121.compute-1.amazonaws.com) has joined #beagle
  • [15:01:31] <aholler> maybe I should better ask when they will disappear
  • [15:03:03] <panto> aholler, they are gone
  • [15:03:14] <aholler> with 3.8?
  • [15:03:20] <panto> yes
  • [15:03:23] * runexe (~douggeige@pool-108-28-180-251.washdc.fios.verizon.net) Quit (Ping timeout: 255 seconds)
  • [15:03:32] <aholler> hmm, sounds like I will get some work :(
  • [15:03:38] <panto> if you're booting with 3.8 there's no more a board-specific file, it's board-generic
  • [15:03:44] * falstaff (~quassel@62-12-208-148.pool.cyberlink.ch) Quit (Read error: Operation timed out)
  • [15:05:05] * jackmitchell (~Thunderbi@195.171.99.130) Quit (Quit: jackmitchell)
  • [15:05:10] <aholler> hopefully we will see rc7 ;)
  • [15:05:43] <panto> aholler, it's been a long time coming
  • [15:05:45] * jackmitchell (~Thunderbi@195.171.99.130) has joined #beagle
  • [15:05:49] * falstaff (~quassel@62-12-214-212.pool.cyberlink.ch) has joined #beagle
  • [15:06:22] <aholler> panto: sure, but I'm lazy and didn't have a need to change to dt
  • [15:06:37] <panto> we didn't either
  • [15:06:43] <panto> but it had to happen
  • [15:07:02] <aholler> hmm, I think it will save some time
  • [15:07:07] <aholler> +you
  • [15:07:25] <aholler> if thats good or bad is something else
  • [15:07:58] <aholler> actually I like dt, if it becomes usable
  • [15:08:35] <panto> frankly there are still problems, but they are getting worked on
  • [15:08:47] <panto> there are obvious benefits
  • [15:12:39] * NishanthMenon wishes everything omap was only dts based.. :(
  • [15:12:43] * emeb_mac (~ericb@ip72-201-78-226.ph.ph.cox.net) has joined #beagle
  • [15:12:56] * felipealmeida (~user@mvx-187-16-79-187.mundivox.com) has joined #beagleboard
  • [15:13:20] * felipealmeida (~user@mvx-187-16-79-187.mundivox.com) has joined #beagle
  • [15:14:02] <aholler> NishanthMenon: the second patch seems to miss an unlock in if (IS_ERR(opp))
  • [15:14:17] <NishanthMenon> aholler, thanks for pointing..
  • [15:25:04] * gustavoz (~gustavoz@190.225.90.110) has joined #beagle
  • [15:25:54] * jago25_98 (~j@174-143-147-204.static.cloud-ips.com) Quit (Ping timeout: 252 seconds)
  • [15:36:17] * mythos (~mythos@unaffiliated/mythos) Quit (Ping timeout: 252 seconds)
  • [15:37:48] * jago25_98 (~j@174-143-147-204.static.cloud-ips.com) has joined #beagle
  • [15:40:06] <aholler> I wonder why so many patches got missing. e.g. I've seen at least two which fixed that vaux2 but never found their way into mainline. I think such discourages people.
  • [15:41:29] * mranostay (~mranostay@pdpc/supporter/active/mranostay) Quit (Read error: Connection reset by peer)
  • [15:41:44] <NishanthMenon> aholler, kick koen, NishanthMenon and others maintaining forks ;)
  • [15:42:06] * mranostay (~mranostay@pdpc/supporter/active/mranostay) has joined #beagle
  • [15:42:42] * piezo (~piezo@pdpc/supporter/active/piezo) Quit (Ping timeout: 264 seconds)
  • [15:43:12] <aholler> linux-omap looked like a big black hole to me ;)
  • [15:44:11] <aholler> sorry ;)
  • [15:46:35] * gustavoz (~gustavoz@190.225.90.110) Quit (Quit: Leaving)
  • [15:50:10] * ggh (ada09aa9@gateway/web/freenode/ip.173.160.154.169) Quit (Quit: Page closed)
  • [15:53:08] * gustavoz (~gustavoz@host110.190-225-90.telecom.net.ar) has joined #beagle
  • [15:54:02] * jago25_98 (~j@174-143-147-204.static.cloud-ips.com) Quit (Quit: Leaving)
  • [15:54:07] * prpplague (~danders@192.94.92.14) has joined #beagle
  • [15:54:34] * piezo (~piezo@pdpc/supporter/active/piezo) has joined #beagle
  • [15:57:11] * Tartarus (trini@pixelshelf.com) Quit (Excess Flood)
  • [15:57:49] * Tartarus (trini@pixelshelf.com) has joined #beagle
  • [16:02:19] * NishanthMenon weeps at drivers/devfreq/exynos4_bus.c
  • [16:02:39] * davest (Adium@nat/intel/x-ivwyzjtasdlvpxbv) has joined #beagle
  • [16:04:39] <mdp> nobody misses them
  • [16:05:17] <mdp> panto, I see an astonishing collection of board files for you to claim they are all gone in 3.8
  • [16:05:38] <mdp> panto, like the _legacy_ omap4 platform :)
  • [16:05:39] <panto> they are gone for all new board/arches like am33xx :)
  • [16:05:49] <panto> embrace the future
  • [16:06:02] <mdp> ahh, you didn't qualify it with "for all platforms that matter" :)
  • [16:06:08] <mdp> I'm with you now
  • [16:06:17] <panto> ok, ok, all platforms that are not in rigor-mortis
  • [16:06:51] <prpplague> hehe
  • [16:06:57] <panto> hi prpplague
  • [16:07:02] <prpplague> panto: greetings
  • [16:07:08] <prpplague> panto: what's cooking today?
  • [16:07:17] <koen> drat
  • [16:07:19] <koen> 18 servos
  • [16:07:21] <panto> oh, squashing bugs
  • [16:07:28] <koen> 16 channel servo controller
  • [16:07:43] <panto> prpplague, I'll start prepping the ELC talk come Monday
  • [16:07:52] <panto> I have a few ideas that I want to test
  • [16:07:55] <koen> I guess I could use 2 real PWMs from the bone to driver the missing 2
  • [16:07:58] <prpplague> panto: dandy!
  • [16:08:08] <prpplague> koen: hey crack baby
  • [16:08:21] <panto> maybe piss off enough maintainers will at it :)
  • [16:08:28] <panto> *while
  • [16:08:29] <koen> hey prpplague
  • [16:08:39] <koen> prpplague: the proverbial smoking nun
  • [16:08:39] <prpplague> panto: hehe
  • [16:08:49] <prpplague> koen: hehe
  • [16:08:52] <panto> "you can't do that!"
  • [16:11:08] <aholler> "No, no, no" seems to be common
  • [16:11:55] * axMountain (~Daniel@cust-95-80-44-248.csbnet.se) has joined #beagle
  • [16:12:58] <aholler> and then slap the commiters with something rejected or removed years ago, suggesting they are stupid because they don't know the history of the subsystem
  • [16:13:03] <mranostay> prpplague: i can troll you if you want
  • [16:13:32] * emeb_mac (~ericb@ip72-201-78-226.ph.ph.cox.net) Quit (Quit: emeb_mac)
  • [16:13:37] <mranostay> er panto
  • [16:13:48] * Niraj (75cc0794@gateway/web/freenode/ip.117.204.7.148) Quit (Ping timeout: 245 seconds)
  • [16:14:31] <panto> aholler, "It was obvious that foo wasn't going to work by the summer of 1992, the last time Linus got a wedgie"
  • [16:15:05] <aholler> something like that ;)
  • [16:16:14] <mranostay> panto: you'll love my PWM hack for the nixie cape :)
  • [16:16:30] <panto> I hate it already! :P
  • [16:17:09] * emeb (~ericb@ip72-201-78-226.ph.ph.cox.net) has joined #beagle
  • [16:17:11] <mranostay> maybe i should add channel support to pwmss
  • [16:18:06] * jpsaman (~jpsaman@videolan/developer/jpsaman) Quit (Quit: Leaving)
  • [16:20:24] * mythos (~mythos@unaffiliated/mythos) has joined #beagle
  • [16:23:38] * ant_work (~ant@host6-80-static.42-85-b.business.telecomitalia.it) Quit (Read error: Connection reset by peer)
  • [16:32:08] * Jayneil (~jayneil@nat/ti/x-sbohddcqpvxphkmu) has joined #beagle
  • [16:45:35] * runexe (~douggeige@pool-108-28-180-251.washdc.fios.verizon.net) has joined #beagle
  • [16:46:28] * mythos (~mythos@unaffiliated/mythos) Quit (Ping timeout: 272 seconds)
  • [16:51:41] * jackmitchell (~Thunderbi@195.171.99.130) Quit (Quit: jackmitchell)
  • [16:56:20] * slchen (~slchen@123-195-161-155.dynamic.kbronet.com.tw) Quit (Quit: slchen)
  • [17:03:16] * nvd (51aad0f2@gateway/web/freenode/ip.81.170.208.242) Quit (Quit: Page closed)
  • [17:07:56] * chainsawbike (~chainsawb@unaffiliated/chainsawbike) Quit (Ping timeout: 245 seconds)
  • [17:12:46] * chainsawbike (~chainsawb@unaffiliated/chainsawbike) has joined #beagle
  • [17:15:39] * XorA|gone is now known as XorA
  • [17:18:44] * kiilo (~kiilo@46-126-76-28.dynamic.hispeed.ch) Quit (Ping timeout: 248 seconds)
  • [17:28:14] <aholler> "smart white good applications", one new thing to learn every day ;)
  • [17:29:12] <emeb> bread machine with floating point and gps navigation!
  • [17:29:55] <aholler> rice cooker with android
  • [17:31:43] * RITRedbeard_ (~redbeard@c-68-37-165-37.hsd1.nj.comcast.net) Quit (Read error: Connection reset by peer)
  • [17:31:53] * kkeller (~Ken_Kelle@174-17-17-245.phnx.qwest.net) has joined #beagle
  • [17:31:54] <aholler> but watterott now has the cc2541dk. have to sleep if I should buy me some work ;)
  • [17:32:07] * RITRedbeard_ (~redbeard@c-68-37-165-37.hsd1.nj.comcast.net) has joined #beagle
  • [17:45:11] * lyakh (~lyakh@dslb-178-009-253-075.pools.arcor-ip.net) Quit (Quit: thanks, bye)
  • [17:47:48] <XorA> aholler: android mascot makes perfect shape for rice cooker :-D
  • [17:48:05] * mythos (~mythos@unaffiliated/mythos) has joined #beagle
  • [17:48:48] <aholler> shake it to stop cooking, hot hands for free
  • [17:52:00] <XorA> hehe
  • [18:01:15] <calculus> ds2: ping, are you going to SCALE?
  • [18:03:29] * ka6sox-away is now known as ka6sox
  • [18:06:33] <mranostay> android powered rice cooker?
  • [18:12:15] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) has joined #beagle
  • [18:14:10] <aholler> mranostay: http://www.theregister.co.uk/2013/01/10/android_rice_cooker/
  • [18:15:50] <aholler> the last two sentences are scaring ;)
  • [18:16:18] <mdp> lol
  • [18:16:26] <mdp> I hope somebody does a Linux port
  • [18:17:03] <mranostay> mdp: +1
  • [18:19:11] * Bryanstein (~Bryanstei@shellium/admin/bryanstein) Quit (Quit: ZNC - http://znc.sourceforge.net)
  • [18:19:32] <mranostay> aholler: so $600 for a crockpot?
  • [18:20:02] <aholler> eh, it's with android
  • [18:20:41] <aholler> worth the few bucks
  • [18:20:45] <mdp> Eleven!
  • [18:22:30] <emeb> hmph - thermal fuse. no problem.
  • [18:22:38] * shoragan (~jlu@debian/developer/shoragan) Quit (Quit: Leaving)
  • [18:23:18] <mranostay> crockpots are completely safe to leave unattended
  • [18:24:00] * Bryanstein (~Bryanstei@shellium/admin/bryanstein) has joined #beagle
  • [18:24:30] * Bryanstein (~Bryanstei@shellium/admin/bryanstein) has joined #beaglebone
  • [18:24:36] * rsalveti (~rsalveti@unaffiliated/rsalveti) Quit (Ping timeout: 248 seconds)
  • [18:25:01] <emeb> once saw a common wall-wart short out and burst into flames though.
  • [18:25:14] <emeb> that made me pretty paranoid about wall-warts.
  • [18:26:10] <mranostay> stop wrapping them in tin foil
  • [18:26:24] <mdp> that's hat material, only
  • [18:30:17] <Russ> where the hell do I buy tin foil anyway?
  • [18:30:34] <aholler> area 51
  • [18:30:45] <Russ> ah, this is what you need for your wall wart
  • [18:30:47] <Russ> http://www.madisoundspeakerstore.com/tin-foil-caps/mundorf-4.70-mfd-tin-foil-cap-100v/?gclid=CI3V_PPE8rQCFW6CQgodlRYAXQ
  • [18:30:50] <Russ> a tin foil cap
  • [18:33:05] <emeb> obligatory -> http://www.eclectech.co.uk/mindcontrol.php
  • [18:35:12] <mranostay> 27$ for caap?
  • [18:35:15] <mranostay> *cap
  • [18:35:41] <mranostay> ah 4.7 millifarad
  • [18:36:02] * gustavoz (~gustavoz@host110.190-225-90.telecom.net.ar) Quit (Ping timeout: 272 seconds)
  • [18:37:40] * gustavoz (~gustavoz@host110.190-225-90.telecom.net.ar) has joined #beagle
  • [18:39:07] * damir__ (~damir@cpe-212-85-175-204.cable.telemach.net) has joined #beagle
  • [18:39:16] * rsalveti (~rsalveti@unaffiliated/rsalveti) has joined #beagle
  • [18:48:51] * hitlin37_ (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) has joined #beagle
  • [18:48:51] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) Quit (Read error: Connection reset by peer)
  • [18:48:55] * hitlin37_ is now known as hitlin37
  • [18:50:09] * jet (~jerome@mna75-8-82-234-66-158.fbx.proxad.net) Quit (Ping timeout: 276 seconds)
  • [18:51:12] * jet (~jerome@mna75-8-82-234-66-158.fbx.proxad.net) has joined #beaglebone
  • [18:51:43] * arcanescu (925706ef@gateway/web/freenode/ip.146.87.6.239) Quit (Ping timeout: 245 seconds)
  • [19:03:46] * bizulk (~sli@195.6.193.205) Quit (Quit: Leaving.)
  • [19:09:59] * florian (~fuchs@Maemo/community/contributor/florian) has joined #beagle
  • [19:11:20] <mrpacket_> https://fbcdn-sphotos-b-a.akamaihd.net/hphotos-ak-prn1/740104_10151362671472661_563056034_o.jpg
  • [19:11:38] <jkridner> koen: what package has mkfs.ext4?
  • [19:12:07] <koen> e2fsprogs
  • [19:12:08] <mrpacket_> a few more hours
  • [19:12:26] <jkridner> hmmm.... doesn't seem to on current image.
  • [19:13:01] <koen> e2fsprogs-mke2fs
  • [19:13:13] <koen> e3,e4 are a symlink to the e2 one
  • [19:13:26] <koen> which is how you can end up with an e2 fs when issuing mkfs.e4
  • [19:13:50] <jkridner> k, I'll make sure to give --type, but I'm not finding the package in the feeds.
  • [19:14:02] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) Quit (Ping timeout: 272 seconds)
  • [19:14:13] <jkridner> nm, feed update got it. :(
  • [19:14:15] <jkridner> sry
  • [19:14:18] <koen> jkridner: I found it with 'opkg list', so it's most certainly in the feeds :)
  • [19:14:40] <koen> jkridner: the code I used for the hexy pod is kinda emberassing
  • [19:14:43] <jkridner> I thought with the new image the feeds would be up-to-date, but opposite was true.
  • [19:14:48] <koen> jkridner: it's the adafruit r-pi python lib
  • [19:15:02] <jkridner> k. i'm looking at giving a tutorial on PWMs at i3-Detroit next week.
  • [19:15:50] * koen notes down that 'cheap' means 'non-linear' in servo town
  • [19:18:18] <emeb> probably also means other things that you'll find out about later.
  • [19:18:37] <koen> yeah
  • [19:18:49] <koen> plastic gears glued onto a smooth axle
  • [19:19:22] <koen> already broke 2 of them
  • [19:20:39] <emeb> yech
  • [19:22:41] <XorA> koen: when do F18 fixes hit Angstrom?
  • [19:23:03] <koen> XorA: I sent them to oe-core, dunno when scott gets around to applying them
  • [19:23:17] <koen> v2012.12 is safe
  • [19:23:27] <koen> v2012.05 needs the 4 patches to F18
  • [19:23:35] <XorA> might switch to that temp then
  • [19:23:44] <koen> my main build machine is F18 now :)
  • [19:24:23] * XorA is working ubuntu out of his system
  • [19:24:35] <koen> http://patches.openembedded.org/project/oe-core/list/?q=fedora
  • [19:25:00] <XorA> koen: I saw them, just not sure the timescales of applying these days
  • [19:25:39] <koen> me neither
  • [19:25:46] <XorA> koen: I shall be at FOSDEM this year :-D\
  • [19:25:54] <koen> \o/
  • [19:25:56] <koen> me too
  • [19:26:11] <koen> trying to arrange for a waffle giveaway at the beagle booth
  • [19:26:23] <XorA> hehe
  • [19:26:30] <XorA> bone waffle iron :-D
  • [19:26:46] <dm8tbr> we'll all get boned...
  • [19:26:56] * Crofton|work will also be at FOSDEM
  • [19:27:14] <XorA> koen: bringing Ann so she gets an idea what we actually do :-D
  • [19:27:22] <Crofton|work> I need to update one of my old build machines to F18
  • [19:27:27] <koen> drink beer, eat waffles
  • [19:27:42] <Crofton|work> what else is there to do in Brussels
  • [19:27:43] <XorA> I mean between beers :-D
  • [19:27:51] <Crofton|work> speak in tongues
  • [19:28:15] <XorA> hehe
  • [19:29:21] * djlewis (~djelwis@adsl-65-64-30-13.dsl.ltrkar.swbell.net) has joined #beagle
  • [19:30:18] <XorA> good to see some CentOS support as well
  • [19:32:07] <mranostay> XorA: you want to her to meet the freaks? :)
  • [19:32:44] <mranostay> you need to do what panto did.. only introduced his wife to a limited set :)
  • [19:32:52] <XorA> mranostay: she already met koen and Crofton|work
  • [19:33:33] * tema (~tema@178-16-155-142.obit.ru) has joined #beagle
  • [19:33:37] <panto> even that it's... difficult
  • [19:34:00] <panto> there's always the fear she'll bump into someone like RMS and run away screaming
  • [19:34:04] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) has joined #beagle
  • [19:34:48] <XorA> she would probably hold an idealogical discussion with RMS :-D
  • [19:35:06] <panto> what's the safe distance to do so?
  • [19:35:26] <mranostay> panto: anyone with an excessive beard is offlimits? right?
  • [19:35:54] <panto> two words, beard bugs
  • [19:36:02] <XorA> free laser treatment for linux engineers!
  • [19:36:28] * fusion94 (~fusion94@pdpc/supporter/student/fusion94) has joined #beagle
  • [19:36:38] <mranostay> panto: wow that is a real thing..
  • [19:37:00] <Russ> panto, I know how to take care of beard bugs
  • [19:37:02] <Russ> anting!
  • [19:37:04] <XorA> crabs moving north?
  • [19:37:17] <mranostay> TMI!
  • [19:39:04] <XorA> well its all the news in Bay Area that they are a dieing species!
  • [19:39:45] <Crofton|work> in the bay area, you can out source potty training
  • [19:40:01] <XorA> to china?
  • [19:40:24] <Crofton|work> no, to a place on El Camino
  • [19:41:43] <mranostay> ah please tell me you are kidding
  • [19:41:53] <Crofton|work> no, I saw a sign
  • [19:43:02] <mranostay> creepiest thing i've learned today
  • [19:52:35] * gustavoz (~gustavoz@host110.190-225-90.telecom.net.ar) Quit (Quit: Leaving)
  • [19:52:45] * felipealmeida (~user@mvx-187-16-79-187.mundivox.com) Quit (Read error: Connection reset by peer)
  • [19:55:16] <toneeee> Howdy folks
  • [19:56:07] <XorA> howdee doodlee doo, want some toast?
  • [19:57:18] <toneeee> Sometimes
  • [19:59:34] <panto> goodbye fellow trolls, beer beckons
  • [19:59:38] * panto (~panto@195.97.110.117) Quit (Quit: Leaving)
  • [20:07:22] * fusion94 (~fusion94@pdpc/supporter/student/fusion94) Quit (Quit: Linkinus - http://linkinus.com)
  • [20:09:01] * mhaberler (~mhaberler@extern-185.stiwoll.mah.priv.at) has joined #beagle
  • [20:09:04] * mhaberler (~mhaberler@extern-185.stiwoll.mah.priv.at) has joined #beaglebone
  • [20:13:19] <toneeee> prplauge: http://elinux.org/Chip_On_Board
  • [20:14:17] <mranostay> toneeee: ah yes?
  • [20:17:42] <toneeee> mranostay: he asked that I update the page to include a reference to the Chip on Board tutorial at the Die Products Consortium.
  • [20:18:02] <toneeee> That seems like a great job to have, DPC.
  • [20:21:50] * sr105 (~hchapman@65349hfc19.tampabay.res.rr.com) has joined #beagle
  • [20:27:22] * hitlin37_ (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) has joined #beagle
  • [20:28:59] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) Quit (Ping timeout: 244 seconds)
  • [20:29:02] * hitlin37_ is now known as hitlin37
  • [20:29:37] <cbrake> it seems in the system I'm working on that OMAP GPIO regs get reset when the kernel starts
  • [20:29:56] <joel_> mag: ping
  • [20:30:03] <cbrake> does anyone know if this is expected, and why it happens?
  • [20:30:12] <cbrake> 3.0 kernel, DM3730
  • [20:30:37] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) Quit (Client Quit)
  • [20:30:58] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) has joined #beagle
  • [20:35:51] <mdp> cbrake, hwmod reseting the gpio IP?
  • [20:37:32] <NishanthMenon> mdp, yep
  • [20:37:41] <NishanthMenon> mdp, we used to face that thanks to hwmod
  • [20:38:29] <NishanthMenon> there was a discussion on the GPIO topic in l-o some eons back I recollect.. i think it was 4460, where the GPIO was controlling selection of voltage register and hwmod reset it resulting in lockups
  • [20:38:43] <mdp> cbrake, you can add: HWMOD_INIT_NO_RESET
  • [20:38:52] <NishanthMenon> mdp, there was a function for it
  • [20:38:57] <NishanthMenon> that is supposed to be the right way
  • [20:39:00] * NishanthMenon searches
  • [20:39:04] <mdp> NishanthMenon: right, I've had to hack stuff to have hwmod not kill jtag on me
  • [20:39:17] <mdp> it's difficult to find a right way for things with hwmod
  • [20:39:24] <mdp> ;)
  • [20:39:51] <mdp> but sounds good
  • [20:40:40] <NishanthMenon> omap_hwmod_no_setup_reset
  • [20:41:01] <_av500_> ??h??: http://26-26-54.hardwarebug.org/138
  • [20:42:06] <NishanthMenon> mdp, http://goo.gl/YTNrz for documentation
  • [20:43:03] <NishanthMenon> mdp, related thread http://marc.info/?t=135290702700004&r=1&w=2
  • [20:43:08] <mdp> yeah, I've had to read that before
  • [20:47:31] <mdp> it's one of those things that could stand to have a property added in the omap binding
  • [20:47:43] <mdp> yet another gap for those platforms that are board file challenged ;)
  • [20:48:00] <bradfa> mind the gap
  • [20:48:10] * mdp falls in front of the train
  • [20:48:14] <mdp> what?
  • [20:48:40] <bradfa> device train?
  • [20:48:51] <mdp> backslapping device email train
  • [20:48:55] <mdp> the only way to go
  • [20:49:27] * bradfa hasn't done any dancing today :(
  • [20:49:32] * mdp plays naming games with DT properties
  • [20:49:43] <mdp> bradfa, I'm dancing enough for everybody right now
  • [20:49:55] * bradfa congratulates mdp on dancing abilities
  • [20:49:57] <mdp> got some upstream ready am33xx audio love
  • [20:50:20] <mdp> only 10.2 billion patches that it depends on ;)
  • [20:51:03] <toneeee> Parsing recipes: 0%|# | ETA: 1:00:00:00:00:39
  • [20:51:10] <mdp> lol
  • [20:51:17] <bradfa> toneeee, get beer
  • [20:51:20] <bradfa> lots
  • [20:51:26] <toneeee> damn skippy
  • [20:51:34] <bradfa> what units is the 1 in?
  • [20:51:38] <bradfa> days? years?
  • [20:51:41] <toneeee> I didn't count
  • [20:51:45] <mdp> nothing more ram and cores can't help with
  • [20:51:48] <bradfa> the zeros confuse me
  • [20:52:03] <mdp> bradfa, you want to live with just ones?
  • [20:52:03] <mranostay> are they facts?
  • [20:52:17] <bradfa> mdp, 1s are nice, 0s are evil
  • [20:52:20] <mdp> we *need* both the ones and zeroes...they are equally important friends
  • [20:52:26] <mdp> bradfa, don't be a friday hater
  • [20:52:53] * bradfa hates on Fridays
  • [20:52:59] <toneeee> that was for 10.2 billion patches
  • [20:53:02] <mdp> it's not like the old days when they did unary computing
  • [20:53:07] <mdp> we be advanced
  • [20:53:10] <bradfa> urinary computing?
  • [20:53:22] <mdp> yes, urinary computing
  • [20:53:31] <mdp> um, I need to see the WC for some reason
  • [20:53:31] <mranostay> where is mlocke when you need him? :)
  • [20:53:34] <mdp> ;)
  • [20:55:18] <mdp> toneeee: sometimes it looks like 10.2 billion when I look at the dependency list in my patch series cover letter ;)
  • [20:56:10] <mdp> "go grab this crap all over alsa-devel, then a pinch of beetle dung, crushed bat wings, stir"
  • [20:57:01] <mdp> bradfa, oh, and if you were wondering, DT *can* help here ;)
  • [20:57:50] <toneeee> mdp: oh I'm with you. I'm new to this openembedded world and everything seems like 10.2 billion. I built the kernel, okay that worked well in 8 hours. Now I'm trying to build the cloud9 distro to see how it's done and it's taken a week and the fun times on anstrrom-developer list about udev are just making it more fun. I'll get there. But I'm with you on 10.2
  • [20:58:24] <mranostay> oh a quiz
  • [20:58:29] <mdp> you may have more than that just for cloud9 ;)
  • [20:58:51] <bradfa> mranostay, quiz time?
  • [20:58:53] <toneeee> mdp: I guess I can't count past 10.2 billion
  • [20:59:16] <bradfa> cloud9 doesn't seem to fit my definitiion of embedded..
  • [20:59:19] <mranostay> bradfa: cape contest survey
  • [20:59:26] <mranostay> hmmm "12. If given unlimited resources, what would you create?"
  • [21:00:05] <mrpacket_> cape contest survey?
  • [21:00:10] <mrpacket_> they are asking you
  • [21:00:21] <mrpacket_> it seems to me its a cheap way of doing recruitment
  • [21:00:28] <mrpacket_> if anyone came up with a great design.
  • [21:00:34] <mdp> mranostay, world peas!
  • [21:00:34] <mrpacket_> they might go to hire you.
  • [21:00:34] <jkridner> we are sending out a survey?
  • [21:00:35] <toneeee> can a beagle make world peace ?
  • [21:00:44] <bradfa> link to survey?
  • [21:00:55] <mranostay> bradfa: email
  • [21:01:06] <jkridner> toneeee: Underdog can!
  • [21:01:15] <bradfa> mranostay, apparently I'm not cool
  • [21:01:20] <mrpacket_> i would create a bitcoin mine that did 10.2GH/s and cost $2.00
  • [21:01:29] <mranostay> bradfa: didn't submit anything
  • [21:01:43] <mranostay> mrpacket_: kickstarter it
  • [21:01:48] <mranostay> and run off with the money :)
  • [21:01:56] <bradfa> mrpacket_, I'd create 1 million $100 bills
  • [21:02:05] <bradfa> that'd be enough
  • [21:02:15] <toneeee> and it could be Chip on Board so we could just glob it to our arms to be our own bitcoin farm
  • [21:02:16] <bradfa> mranostay, ah, no I did not submit a cape
  • [21:02:36] <bradfa> wish I could have
  • [21:03:06] <mrpacket_> i've found a new mine
  • [21:03:13] <mrpacket_> of Bytecoins
  • [21:03:29] <bradfa> 8 times the value!
  • [21:03:31] <mrpacket_> i'm just goign to mine the first 50B
  • [21:04:09] <toneeee> I'm too new here to know so I'll bite: do you guys actually mine bitcoins or is that just a running gag?
  • [21:04:40] <mdp> mranostay, put "construct a Death Star"
  • [21:04:43] <bradfa> toneeee, I assume some people do
  • [21:04:53] <bradfa> +1 death star
  • [21:05:08] <agmlego> toneeee: Well, that is after all the way you get bitcoins, is to mine them.
  • [21:05:09] <mdp> mranostay, and "because the government was too stupid to do it before I got the resources"
  • [21:05:30] * tema (~tema@178-16-155-142.obit.ru) Quit (Ping timeout: 240 seconds)
  • [21:05:35] <agmlego> toneeee: But of course in this sense, you are not digging through dirt and rock but through mathematics.
  • [21:05:56] <bradfa> agmlego, well, most people mining don't even half understand the maths
  • [21:06:01] <bradfa> they just own some graphics cards
  • [21:06:04] <agmlego> toneeee: And you use not dynamite and rills buyt algorithms that are easy to work one way but hard or impossible to work the other way.
  • [21:06:28] <agmlego> bradfa: Still using math--I can use a tool without understanding it.
  • [21:06:44] <bradfa> fair enough
  • [21:06:54] <bradfa> hammers are hard for me
  • [21:07:53] <toneeee> agmlego well I meant: are some of you running the mining software or is it just a joke around here that bitcoins are something to use to pay for stuff? I get it that they are not real coins.
  • [21:09:55] <agmlego> toneeee: bitcoins in general are sort of a catch-22; to have any value, people need to use them, but there is nowhere really to use them because nobody takes them because nobody uses them to buy things.
  • [21:10:16] <agmlego> The biggest places people use them are the online black market.
  • [21:10:21] <agmlego> Or so I have heard.
  • [21:10:36] <toneeee> agmlego: right; that's why I ask if they are an in-joke here. oh. got it, black market
  • [21:10:39] <agmlego> Mostly, they are a symbol of how much power you are willing to waste for e-penis cred.
  • [21:11:13] <agmlego> Which is a shame, because it would be nice to actually have a sovereign cyberspace with its own currency.
  • [21:12:02] <jkridner> anyone know where 'not-capebus' loads the firmware files from?
  • [21:13:09] * jkridner guesses all of the .dts files are compiled into the single .dtb and loaded out of that somehow.
  • [21:13:36] <jkridner> I think panto already left for beer. :)
  • [21:14:54] <_av500_> beer?
  • [21:15:14] <jkridner> got your attention now?
  • [21:15:40] <XorA> Beagle beer?
  • [21:16:08] <jkridner> BeerCape
  • [21:19:14] * smplman (~speery@64.132.167.18) Quit (Ping timeout: 255 seconds)
  • [21:23:04] * davest (Adium@nat/intel/x-ivwyzjtasdlvpxbv) Quit (Quit: Leaving.)
  • [21:26:24] <mdp> jkridner: that would have been a guaranteed winner
  • [21:26:51] * Guest86266 (~bleh1@87.254.84.104) Quit (Ping timeout: 244 seconds)
  • [21:27:17] <mrpacket_> bradfa: we use 64bit Bytecoins in our mine..
  • [21:27:44] * emeb_droid (~androirc@wsip-184-186-218-22.ph.ph.cox.net) has joined #beagle
  • [21:28:33] <mrpacket_> you can come and mine anytime you like.
  • [21:44:34] <bradfa> toneeee, I'd assume most here don't bitcoin mine
  • [21:44:51] <bradfa> mostly a joke for those who don't mine
  • [21:45:01] <bradfa> probably pretty serious for those who do, though
  • [21:57:32] * KidBeta (~KidBeta@124-171-44-83.dyn.iinet.net.au) has joined #beagle
  • [22:00:39] * bradfa (~bradfa@173.225.52.244) Quit (Quit: Ex-Chat)
  • [22:02:03] <toneeee> :D okay I think I get it.
  • [22:03:49] <koen> jkridner: dtbo files get loaded from /lib/firmware
  • [22:04:08] <koen> jkridner: it's all documented in Documentation/ :)
  • [22:04:38] <mranostay> koen: exact steps?
  • [22:05:22] <mdp> koen, and the dts files are hidden in firmware/capes/ ;)
  • [22:05:31] <mdp> sekrit dts files
  • [22:05:45] <mranostay> jkridner: even the nixie cape has one now
  • [22:06:03] <mdp> it's now the ultimate evil vendor tree ;)
  • [22:08:53] <mranostay> of course the nixie cape is 90% PRU magic :)
  • [22:11:31] * xzeta (5d2ae369@gateway/web/freenode/ip.93.42.227.105) has joined #beagle
  • [22:11:51] <xzeta> hi
  • [22:11:58] <mranostay> hide!
  • [22:12:49] <xzeta> are looking for a guide to install windows embedded on deagleboard xm, I did not find anything on google
  • [22:12:58] <mranostay> hehe
  • [22:13:08] <xzeta> tnx
  • [22:13:39] * felipealmeida (~user@mvx-187-16-79-187.mundivox.com) has joined #beagleboard
  • [22:13:43] <mranostay> why would you want to do that?
  • [22:14:06] * felipealmeida (~user@mvx-187-16-79-187.mundivox.com) has joined #beagle
  • [22:14:29] * _chase_ (~a0271661@nat/ti/x-qslllstffdxwmuqp) Quit (Excess Flood)
  • [22:14:57] * _chase_ (~a0271661@nat/ti/x-vzmwreuhnlidoptd) has joined #beagle
  • [22:15:09] <xzeta> I use Adobe AIR and Adroid aletre does not meet requirements
  • [22:15:18] * Jayneil (~jayneil@nat/ti/x-sbohddcqpvxphkmu) has left #beagle
  • [22:16:00] <xzeta> I need into using embedded windows, an installation guide, thank you?
  • [22:17:19] <mranostay> why use the xM for this?
  • [22:19:05] * felipealmeida (~user@mvx-187-16-79-187.mundivox.com) Quit (Remote host closed the connection)
  • [22:19:10] <mranostay> er http://beagleboard.org/project/WinCE7+BSP+for+BeagleBoard-XM/ first google result
  • [22:19:45] * emeb_droid (~androirc@wsip-184-186-218-22.ph.ph.cox.net) Quit (Ping timeout: 248 seconds)
  • [22:21:41] * _chase_ (~a0271661@nat/ti/x-vzmwreuhnlidoptd) Quit (Ping timeout: 255 seconds)
  • [22:22:23] <xzeta> TNX you, I read that link is not understandable
  • [22:30:55] * XorA wonders what is wrong with the Adobe Air icon on his android :-D
  • [22:41:30] * tema (~tema@92-100-163-83.dynamic.avangarddsl.ru) has joined #beagle
  • [22:46:14] * awozniak (~awozniak@74.82.132.35) Quit (Quit: Ex-Chat)
  • [22:47:50] * axMountain (~Daniel@cust-95-80-44-248.csbnet.se) Quit (Quit: Leaving.)
  • [22:54:50] * mlsmv (48532641@gateway/web/freenode/ip.72.83.38.65) has joined #beagle
  • [23:00:29] * awozniak (~awozniak@74.82.132.35) has joined #beagle
  • [23:01:09] <mlsmv> I have kinda of a big problem
  • [23:01:11] <mlsmv> connecting to my beagleboard xm gives me a Kernel panic on my laptop
  • [23:01:23] <mlsmv> I am running arch linux on the board
  • [23:01:28] <mlsmv> and on my computer
  • [23:01:41] <mlsmv> laptop: 3.6.11-1-ARCH
  • [23:02:30] <mlsmv> the connection is through serial
  • [23:02:41] * dv_ (~quassel@chello080108009040.14.11.vie.surfer.at) Quit (Read error: No route to host)
  • [23:03:45] * tolot (~tolotol@dslb-146-060-072-165.pools.arcor-ip.net) has joined #beagle
  • [23:04:35] * dv_ (~quassel@chello080108009040.14.11.vie.surfer.at) has joined #beagle
  • [23:09:19] <aholler> s/C/G/
  • [23:09:24] * awozniak (~awozniak@74.82.132.35) Quit (Quit: Ex-Chat)
  • [23:16:02] <mlsmv> Connecting to my beagleboard xm through serial causes my laptop to have a kernel panic, not the board
  • [23:16:12] <mlsmv> does anyone know how to prevent this?
  • [23:16:17] <mru> fix the kernel
  • [23:16:32] * kkeller (~Ken_Kelle@174-17-17-245.phnx.qwest.net) Quit (Quit: Leaving.)
  • [23:16:36] <mlsmv> how? what is wrong with it?
  • [23:16:47] <mru> you said it yourself, it crashes
  • [23:17:15] <mlsmv> but I have no idea why a simple serial connection would do that
  • [23:17:22] <mlsmv> and therefor no idea how to fix it
  • [23:17:38] <mru> the stack trace should give some clues
  • [23:17:38] <toneeee> is the connection merely Tx/Tx/+V/Gnd ?
  • [23:17:44] <toneeee> (4-wire or more)
  • [23:17:55] <mru> 4 wires?
  • [23:18:01] <mru> 3 is enough
  • [23:18:17] <toneeee> sorry Rx/Tx/Gnd
  • [23:18:26] <mlsmv> I count 9 pins
  • [23:18:31] <toneeee> but how many are in use ?
  • [23:18:38] <mlsmv> its a serial to usb
  • [23:19:28] <toneeee> usb on the laptop side ?
  • [23:19:32] <mlsmv> yes
  • [23:19:34] <mru> then my money is on a faulty usb driver
  • [23:19:37] <mru> not serial per se
  • [23:19:45] <toneeee> mine too.
  • [23:19:46] <mru> which driver does it use?
  • [23:19:58] <mlsmv> do you know how I can check?
  • [23:20:18] <Russ> you probably have an old version of ubuntu with a usb/serial adapter kernel bug
  • [23:20:42] <mlsmv> I am running archbang
  • [23:20:51] <mru> some combination of lsmod, lsusb, and dmesg should provide all info
  • [23:20:57] <Russ> archbang what
  • [23:20:58] <mru> and bang it goes
  • [23:21:07] <mru> so why are you complaining
  • [23:21:47] <mlsmv> haha, arch linx
  • [23:21:49] <mlsmv> linux
  • [23:21:58] <Russ> *sigh*
  • [23:22:02] <Russ> WHAT RELEASE
  • [23:22:34] <mru> if you'd rather have it go pop, you need a weasel
  • [23:22:43] <mlsmv> 3.6.11-1-ARCH
  • [23:22:49] <XorA> prpplague: quick design a weasel board
  • [23:23:09] <Russ> that's fairly new
  • [23:23:14] <Russ> what does your panic look like
  • [23:23:17] * prpplague opens up orcad
  • [23:23:35] <XorA> 1F capacitor overvolted should do it :-D
  • [23:23:36] <toneeee> weasel cape!!!
  • [23:23:37] <mlsmv> I had this problem with an earlier kernel though
  • [23:23:40] <toneeee> get in on the money!
  • [23:23:49] <mlsmv> thats why I upgraded
  • [23:23:52] <mru> KICKSTARTER!!!
  • [23:23:58] <mlsmv> but the problem persisted
  • [23:24:20] <Russ> doesn't mean its the same problem
  • [23:24:26] <mlsmv> usbserial 30544 1 ftdi_sio
  • [23:24:30] <toneeee> weasel cape that mines bitcoins funded through kickstarter
  • [23:24:51] <mlsmv> so I am guessing ftdi_sio is the driver?
  • [23:24:53] <mru> I've had ftdi panic before
  • [23:24:53] * modmaker (~ncbas@63-11.bbned.dsl.internl.net) Quit (Ping timeout: 252 seconds)
  • [23:29:01] * XorA panics all the time with ftdi
  • [23:29:15] <XorA> then I remeber to plug it into the correct board
  • [23:29:24] * hitlin37 (~chatzilla@145.107.10.2.mar.surfnet.utelisys.net) Quit (Ping timeout: 248 seconds)
  • [23:29:46] <mlsmv> I will trying building one from source
  • [23:34:57] <aholler> try 3.7.3
  • [23:36:25] * phantoneD (~destroy@a89-155-22-21.cpe.netcabo.pt) has joined #beagle
  • [23:38:23] * mlsmv (48532641@gateway/web/freenode/ip.72.83.38.65) Quit (Ping timeout: 245 seconds)
  • [23:39:32] * phantoxeD (~destroy@a89-155-22-21.cpe.netcabo.pt) Quit (Ping timeout: 248 seconds)
  • [23:41:35] * dj_pi (~asd@c-107-5-25-243.hsd1.mi.comcast.net) has joined #beagle
  • [23:47:50] * djlewis (~djelwis@adsl-65-64-30-13.dsl.ltrkar.swbell.net) Quit (Quit: Leaving.)
  • [23:48:02] * tolot (~tolotol@dslb-146-060-072-165.pools.arcor-ip.net) Quit (Quit: Bye)
  • [23:57:44] * florian (~fuchs@Maemo/community/contributor/florian) Quit (Ping timeout: 276 seconds)